课程设计多功能数字钟

2024-07-15

课程设计多功能数字钟(精选8篇)

课程设计多功能数字钟 篇1

朱安烟

(安阳师范学院 物电学院, 河南 安阳 455002)

摘要:时钟相比具有更高的准确性和直观性

因此得到了更加广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中

本设计采用六位LED

24小时计时方式根据数码管动态显示原理来进行显示。用晶振产生振荡脉加以分频得到所需的钟表秒脉冲,利用纯数字电路,实现数字电子时钟功能,时间重置功能。此次数字钟的理图设计,PCB图的制作主要是基于altium designer软件,利用proteus7.7软件进行仿真,最终本设计实现24小时的时钟计时、时间重置功能。

关键词:LED数码管

时序电路

逻辑电路

时钟

校时引言

仅向。方案论证:

2.1方案一

由于是数字钟的设计,可以用单片机AT89C51来实现计数功能,相对于纯数字电路来讲它具有功耗低、体积小、使用方便等优点。但在大二下半学期初期,对单片机方面的内容知识还不够完善,加上用单片机为核心来做数字钟还需做编程,对自身来说又是一难点。不过此法可以待以后,学习知识完善后再考虑。

2.2 方案二

继而考虑到用原先学过的纯数字电路来做,以74Ls160来做为计数的芯片,用六片分别实现 数字钟的小时、分、秒、的计数,并用晶振加以分频产生数字钟所需的秒脉冲。

从以上两种方案,很容易看出,采用方案二,用此法做即可以复习回顾早期学习的数电模电知识,又避免了单片机知识不足的问题,故用此法。结果与讨论

3.1.1数字钟主要计数芯片为74ls160其引脚图如下:

这种同步可预置十进计数器是由四个D型触发器和若干个门电路构成,内部有超前进位,具有计数、置数、禁止、直接(异步)清零等功能。对所有触发器同时加上时钟,使得当计数使能输入和内部门发出指令时输出变化彼此协调一致而实现同步工作。这种工作方式消除了非同步(脉冲时钟)计数器中常有的输出计数尖峰。缓冲时钟输入将在时钟输入上升沿触发四个触发器。这种计数器是可全编程的,即输出可预置到任何电平。当预置是同步时,在置数输入上将建立一低电平,禁止计数,并在下一个时钟之后不管使能输入是何电平,输出都与建立数据一致。清除是异步的(直接清零),不管时钟输入、置数输入、使能输入为何电平,清除输入端的低电平把所有四个触发器的输出直接置为低电平。超前进位电路无须另加门,即可级联出n位同步应用的计数器。它是借助于两个计数使能输入和一个动态进位输出来实现的。两个计数使能输入(ENP和ENT)计数时必须是高电平,且输入ENT必须正反馈,以便使能动态进位输出。因而被使能的动态进位输出将产生一个高电平输出脉冲,其宽度近似等于QA输出高电平。此高电平溢出进位脉冲可用来使能其后的各个串联级。使能ENP和ENT输入的跳变不受时钟输入的影响。电路有全独立的时钟电路。改变工作模式的控制输入(使能ENP、ENT或清零)纵使发生变化,直到时钟发生为止,都没有什么影响。计数器的功能(不管使能、不使能、置数或计数)完全由稳态建立时间和保持时间所要求的条件来决定。

管脚说明: CLR:清零复位端

当输入为低电平时有效

CLK:时钟信号接收端

A~D:读入

QA~QD:输出

ENT、ENP置一时芯片正常工作

LOAD:置数端

RCO:信号输出端

GND:接地

Vcc:接高

工作方式:

3.1.2 7段LED数码管

3.1.3 32.768KHZ晶振

32.768KHZ是一个标准的频率,晶振频率的应用主要有以下几个方面的参数:尺寸、负载电容、频率偏差、应用范围。按尺寸外形来分主要分为插件和贴片的;插件的主要有2*

6、3*

8、49s 等,贴片的就有很多种了,跟据各公司的设计可的型号有很多,例如:日本KDS晶振就有49SMD、DST310S、SM—14J、DST520、DST410S等。

3.1.4 CD4060分频器

CD4060由一振荡器和14级二进制串行计数器位组成,振荡器的结构可以是RC或晶振电路,CR为高电平时,计数器清零且振荡器使用无效。所有的计数器位均为主从触发器。在CP1(和CP0)的下降沿计数器以二进制进行计数。在时钟脉冲线上使用斯密特触发器对时钟上升和下降时间无限制 引脚功能:

/CP1:时钟输入端

/CP0:时钟输出端

/CP0:反相时钟输出端

Q4~Q10,Q12~Q14:计数器输出端

/Q14:第14级计数器反相输出端

VDD:电源正

VSS:电源负

CR:清零端 3.1.5 74ls48

功能介绍:

74LS48除了有实现7段显示译码器基本功能的输入(DCBA)和输出(Ya~Yg)端外,7448还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端。

由7448真值表可获知7448所具有的逻辑功能:

(1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI也可以接低电平,见表1中1~16行。

(2)消隐功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。

(3)灯测试功能(LT = 0)

此时BI/RBO端作为输出端,端输入低电平信号时,表1最后一行,与 及DCBA输入无关,输出全为“1”,显示器7个字段都点亮。该功能用于7段显示器测试,判别是否有损坏的字段。

(4)动态灭零功能(LT=1,RBI=1)

此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。DCBA≠0,则对显示无影响。该功能主要用于多个7段显示器同时显示时熄灭高位的零。

3.2 原理设计

整体电路设计方案:

3.2.1 振荡电路设计

振荡电路由振荡器产生的脉冲,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟的精确程度,次处有555定时器和晶振两种产生秒脉冲的方法:555振荡器做振荡源一般用于精确度要求不高的场合,由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。考虑到振荡频率的精确度与稳定性固采用晶振做为振荡源来实现振荡电路,得时钟脉冲更稳定,时间走的更准37.268KHz晶振 通过cd4060分频器进行十四分频得到0.5s的脉冲信号,再进行一个SN74LS74进行二分频得到所需的秒脉冲信号:

3.2.2 校时电路设计

根据电路设计所知需要在分处和小时处需要校时,分别在分和时个位向十位进位处各加一开关,另一端接地并且在与地之间接100pf电容为防止按键抖动。

电路设计如下:

当开关处于自然位置时分十位clk端所接为高电平,当开关按下时则引入一低电平实其clk端有一个下降沿脉冲接入,使其产生了校时功能。

3.2.3显示电路设计

显示电路是用74ls48驱动七段共阴数码管来作为时钟显示器。

电路设计如下:

3.2.4 计时电路设计

数字钟的秒和分位都是从0到60循环计数的,所以可以用用异步清零法设计60进制计数器作为秒和分的计数器。用异步置数法设计小时所用的24进制计数器。秒、分位设计电路如下:

3.3 程序调试过程

在板子焊接好以后通上5V电源发现六Led灯只有三个能完整亮出来,其余的都不亮或是亮的不全,而且秒位不走,校时按键不管用。问题很多。

开始调试:

1、首先调试的是秒位为何不走,先测晶振石否起振,测量后发现晶振正常起振,然后从74ls160的clk端用示波器测试一下没有脉冲信号输入,则找74ls74的输出口也无脉冲,以次往前推,最后测量出从74ls74输入端有正确的脉冲输入,输出端却无脉冲输出。观察后没有连接错误,故用万用表测vcc.end端都有正确的电平接入,再测量两点间是否有漏焊现象,最后测出一处漏焊点使D端与Q端没有接通。重新焊接后秒位正常计时。

2、秒位正常计时,但向秒的十位进位时总是显示从8到19,查阅资料可知,在第一个160芯片到第二个160芯片中缺一个非门,充当延时作用,使个位计数到9再来一个脉冲下计数时再向前进位。加上非门进位正常了。

3、秒位向分位进位正常,但校时按键不能用,且分位向十分位不能进位,通过观察焊接对比原理图与pcb图后发现,开关接地的一端弄反了,应是开关与接电容端相侧对着的端接地。这个错误导致开关不能用,亦使分的十位端的74ls160芯片clk段一直接了地,故不能使其正常进位。修改过后则可以正常进位,且两开关都能用了。

4、显示小时位的第一个数码管一直不亮,通过测量发现led数码管没有烧坏,能正常工作,通过对比PCB图观察没有焊接错误,用万用表测量则发现驱动次led的74ls48管没有正常接地,连接跳线处有一虚焊,重新焊接后恢复正常。

5、但分向小时不能进位,由示波器观察发现74ls160芯片clk端无脉冲输入,但十分位有脉冲输出,且导线也导通了,就观察原理图发现原理图一处错误,分向时进位时是分满60向前进一个脉冲,故分的TC端不用再接到时的CLK端了。找到错误后用镊子将板上的铜线划段,则正常进位了。

6、小时进位正常但显示的不是24进制,显示的是44进制,则推测可能是跳线连接错误,将显示小时的十位 74ls160芯片接B端连接成接C端了,故使其显示44进制,通过观察、对比pcb图,最后发现果然如此。修改过后小时为正常24进制了。

7、最后一个数码管有三段老是不亮,观察连接没有错误,测量焊接也正常,最后用万用表测量发现芯片没有问题,那三段不亮的数码管烧了。

8、调试好后在后来的观察中发现从秒向分进位时有时一下进两位,自己找不出来原因。问过老师后,老师说是由于防抖电容所致。尝试着将电容先划断试了一下就没有那种情况了。但此时校时开关由于抖动缘故,按一下有时跳3、4个位,校时不稳定了。结论

此数字钟相对于机械钟来说有低功耗,高精度,数字化显示和不易损坏等特点。符合人们日常家居及办公对钟表的要求,可以作为家居、办公等用表。

参考文献

[1] 佘新平数学电子技术基础 华中科技大学出版社 2009年

[2] 许树玲 丁电宽 王晋 电子技术及实验 内蒙古大学出版社2005年

[3] 佘新平数字电路设计·仿真·测试 华中大学出版社 2010年

课程设计多功能数字钟 篇2

关键词:数字钟,设计,多功能

电路以时间为基准, 按照罗马计数法, 对数码显示管的显示屏进行显示, 确定时间、分钟和秒钟, 通过二十四小时、六十分、六十秒周期校正, 确定时、分、秒显示的情况, 确定有效时间范围, 提高设计校正功能的精准性。按照工作原理, 分析认识电路, 确定电路有效操控方法, 从多功能数字时钟电路中找寻更加适合的设计方案, 提高设计功能效果, 改善数字钟运行电路的基本工作原理, 加强数字钟电路的整体工作效率, 确保数字种多功能电路的有效实用价值。

一、框架原理

数字电路按照数字形式, 完成数字时钟内的时针、分针和秒针的时间很准, 通过二十四时完成一个整体翻的记录, 对相关的电路进行计量, 选用六十一翻的记录, 对分和秒进行对视, 确定整点校正的时、分、秒。通过整体报时功能完善数字电路的功能性效果, 提高数字钟的可读性。

二、设计方案

1. 震荡器。振荡器是电路数字钟的基本元器件, 通过稳定数字钟的基本频率, 确定整体精度, 保证有效震荡器的震荡准确度。555 集成设备, 通过信号标准, 确定设计的信号源。按照石英晶体为原材料, 设计震荡电路。石英可以有效的保证时间准确, 具有较为稳定的测定级别。通过加强时间脉冲信号的推进, 确保电路基本频率, 完善时分水平, 保证时分脉冲标准, 提高频率设定效果, 完成在单位频率下的电流电路取值。采用时钟逻辑电路与RC组成时钟震荡电路, 通过多谐震荡器进行时间常数的数据周期作用, 这与时间RC有关, 与门电路的基本阈值电压有关系。根据温度变化, 对频率整体进行控制, 确保频率稳定调节的适合性, 提高阀门值温度下的影响水平, 三种方法电路因具体特点有不同的使用性, 频率谐振电路的稳定性作用较差, 当遇到脉冲发生的时候, 就会出现不稳定的现象。石英电路的整体稳定性作用较好, 但是如果选用的频率高, 就需要采用分级、分频的方式完善多级电路, 将稳定的信号进行分级输出, 确定信号的稳定性作用。采用555 设备, 完善RC电路, 确保电路稳定, 提高RC通过率, 加强脉冲稳定, 确保信号电路传输的有效性。

2. 分频器。采用时分、频分的方式进行区别控制, 通过频率的区分, 确定可完成传输的信号值, 确保电路脉冲信号控制水平, 保证电路的供给。例如, 脉冲校正的电路频率一般为10HZ左右, 校正的时候需要通过计数器进行数字分频, 通过计数器确定元器件的基本功能作用。为了充分保证计数器的有效利用作用, 可以采用2、5、10 的计数标准, 对555 计数器进行频率测算。每次输出的基本频率为10Hz, 经过三次的频率确定后, 就可以输出脉冲信号。主要的脉冲信号是三频次信号。

3. 计数器。计数按照秒、分、时进行区分, 确定设计标准, 按照60 进制的分、秒标准, 24 进制的时间标准, 确定设计电路信号。按照这样方法, 确定输出的有效脉冲频率, 对相关的计量进行进位, 确定进位信号标准, 根据接受的进位标准, 保证信号的可用性, 有效性, 加强进制准确度, 方便计量。通过计数确定, 采用进位归零的方式, 完成计数的计量标准。其中74L89是以满10 向后进行计数进位的, 给十位一个进位信号, 满101向后进位。时分位在进位过程中, 需要满足基本的接受水平, 确定有效可进位信号的进位级别。当十位满24 正时的时候, 就可以对计数器进行计数清零, 确定计数的基本规律标准, 提高计数标准的准确程度。

( 1) 校正设计。校正设计师通过输出的信号, 在编码、译码过程中, 对信号传输请款进行分析, 确定可现实的数码管信息的规律性, 保证数码管现实的内容的可辨识性, 提高可读作用。采用时分脉冲信号10Hz脉冲电路信号进行校正, 确定有效的适中校正速度, 确保校正的准确性。需要注意的是, 组合电路需要采用非逻辑门电路进行, 开关闭合、打开过程中, 容易产生振动, 造成校正误差。针对这样的误差变动问题, 需要采用屏蔽的方式, 在计数器上增加电容设备, 确保电路的有效稳定作用, 逐步提高触发器电路的稳定性, 保证电路的有效性校正。

( 2) 报时电路。通过正负三极管, 对电路进行分析控制。按照正负有效时间确定, 可以报时的整点值。按照60 进制确定分、秒的进制比例, 通过蜂音, 确定电路的有效报时音。按照差一秒的时间点, 对电路设计的蜂音进行开启, 确保电路可以实现报时的功能。

三、器件的选取

基本元器件是555 振荡器, 是有电阻、电容组合完成的基本电路, 具有良好的震荡延时的作用。通过非门电路进行组合分析, 确定组合完整性作用。通过输出电路、输入电路, 确定有效触发器位置, 采用有效地逻辑门电路进行高低互补组合, 确定有效输出的额定供电电压、有效供电电流, 加强自动化负载效果。实现对器件电路的有效精准计时, 保证温度的恒定, 确保基本价格合理性, 实现综合负载的最高效率, 实现温度、计数、价格都复合基本市场的需求。开展可视的有效开放市场, 提高器件的优选优用作用。

1. 555 引脚介绍。震荡器的选取需要采用充放电设置, 确保电路的可控标准, 加强接触引脚的管理, 确保引脚接地保护, 提高引脚控制端重置效果。通过接地引脚, 确定有效共同接地标准, 保证电路与地相互连接, 通过触发引脚, 确定有效周期启动的时间, 触发信号的引上电压不可大于1VCC, 输出的周期信号电压需要超于2 伏特的高压点位, 控制需要的低点位标准, 即使调整电位的有效输出情况, 确保电流的可控制作用。在基本逻辑电路中, 改善定时器的低压回路标准, 确保输出点位水平。主要的引脚由外部的电压、闸门进行限制, 通过计时器稳定确定有效运行方式, 通过输出电路调整输入的频率。采用低电平对输出电压进行锁定, 确定有效引脚的基本启动动作标准。放电引脚主要是对输出的引脚电流进行接地放电, 通过低阻抗作用, 实现电压的有效调节。555 计数器的有效正负电压电流端, 其主要的电压供电范围为4V—16V, 其输出的有效电流为220m A。555 计时器的有效应用范围广, 一般主要用于稳定谐振电路的震荡器, 无稳定谐振电路的振荡器。

2. 计数器。计数器依照二进制、五进制和十进制的方式, 通过下延触发器确定有效的进制输出端, 通过清零端确定组合技术器位置。选用有效的控制信号, 对脉冲情况进行控制调节, 改善脉冲信号标准。通过有效地数字钟计数, 很大程度上方便了计数准确性计量效果, 方便电路辅助作用, 改善电路的有效基本计数标准。

3. 译码器引脚功能。译码器是一种集成的芯片, 采用七段数码显现管, 通过译码确定有效地驱动电路, 主要的引脚电路功能图如下。按照译码器的信号, 确定码元情况, 控制实际信号显示效果, 将有效的数字信号显示在实际的电路屏幕上。

四、计数器电路的仿真

计算机的应用, 软件和硬件的开发, 提高计算机电路系统的设计标准, 按照模拟电路平台进行应用调节, 逐步完善平台标准, 确保设计准确, 提高设计灵活多变效果。通过统一的设计标准, 确定有效设计流程, 降低设计的风险成本, 直观的实现电路的有效仿真作用。通过计数器仿真电路设计, 完成实验室无法完成的元器件模拟运行, 将相关数据显示在电路显示屏上, 记录相关数据信息, 确定有效元器件的设计电路灵活性。采用数量控制的方式, 完善虚拟仿真仪器仪表的准确性, 实现对设计电路仿真的准确试验结果表示, 加强试验仿真的准确性, 保证电路设计的合理性。

1. 555 振荡器的仿真。采用555 振荡器进行输出信号端的仿真, 通过的时间带宽为100us, 有效的输出波形占用20 个方格, 通过公示就可以得出有效的周期为2000Hz。按照波形进行记录, 确定震荡器有效输出记录, 逐步完善电压标准, 改善波形稳定, 方便分析。确定有效冲放电的三极管和电阻值, 确定波形下降水平, 实现电路输出信号变化的有效检测和分析。分频器中需要对不同频率的波形进行仿真, 通过波形的不同高低电平, 确定冲放电的有效波形。如果引脚从低电平置位看, 输出电平则为高电平, 如果引脚为驱动负载, 电平就会慢慢降低。当引脚、负载的基本电平相等的时候, 此时的电压差为零, 电平保持基本平衡。控制引脚配置, 按照标准配合确定有效电平, 即使调整低电平的有效负载作用, 完善每一个引脚配置, 确保电平置换合理性, 加强波形效果的实用管理, 实现多余负载电压中和效果。

2. 时间仿真。输出信号通过数码管将信号进行分析, 确定高低电平的有效计量标准, 记录显示的数据, 对比有效输入、输出信号, 分析可行性方案, 即使进行信号电路验证。计时过程前, 需要对电路进行计量数量清零, 仿真记录完毕后, 也需要对计时器进行归零, 确保数字时钟可以以基本计数量作为标准开始时间显示量, 保证仿真显示量的准确程度。

3. 报时校正功能。在仿真电路设计中, 需要对电路进行按钮功能设计, 通过按钮校正电路设计, 四线点触确保记录的准确程度。如果时间加承, 采用有效校正, 可以保证基数归零效果。通过按住不放, 校正的数值会以每秒10 倍的数度增加或减少, 从而提高有效核对速度。整点仿真报时技术需要在电路校正归零后进行, 通过时分秒的调节, 确定分显示在59, 秒显示在59 的时候, 进行整点报时, 此时需要等待蜂音的计数量是秒58 的时候发出, 通过整点报时, 确定发出声音时间的准确性。报时经过两秒后停止, 准确的在两秒内完成时间整点报时, 实现报时功能的仿真技术。

综上所述, 通过数字化多功能计数电路的设计研究, 从基本电路原理结构、电路设计内部元器件、电路仿真形成方式、电路设计相关注意事项等多个问题上进行分析, 确定在不同计数器设计中需要选择的不同类别方案, 通过对不同细则进行选择配置, 按照仿真电路进行模拟应用, 实现电路的准确性, 提高设计者对设计电路的充分思维启发。从设计角度提高设计电路的实用性, 加强利用仿真技术提高计数器电路设计的实际应用效果, 降低设计失误和设计误差, 提高利用计数器、振荡器、仿真电路系统完成各类电路的设计, 提高各类元器件、数码管的应用范围, 在实际生活中解决更多可预见的问题。

参考文献

[1]周志华, 李营, 王海燕.辽宁中南部地下流体水化学类型与特征[J].吉林大学学报 (地球科学版) .2015 (S1) .

[2]万波, 赵晓辉, 侯建军.1765年沈阳5 1/2级地震发震构造判定[J].北京大学学报 (自然科学版) 网络版 (预印本) .2009 (04) .

[3]曲乐, 柯小雯, 邹博, 臧石磊, 曾冠男, 杨晓东.场地土动剪模量比和阻尼比对工程场地设计地震动参数的影响[J].防灾减灾学报.2015 (02) .

课程设计多功能数字钟 篇3

关键词:数字万用表 MSP430F149 单片机

中图分类号:TM933文献标识码:A文章编号:1674-098X(2012)09(b)-0041-02

1 数字万用表的工作原理

数字万用表的最基本功能是测量直流电压、直流电流、交流电压、电阻、温度、电容及频率,其基本组成见图1。

通过功能量程的选择把被测物理量连接到相应的参数转换电路上,经过电路转换成电压或频率使单片机能够直接测量,单片机通过拨位开关得到被测物理量的类型,再通过cpu计算出被测物理量的大小,然后控制液晶显示测量结果。

2 MSP430F149芯片简介

MSP430F149单片机是美国TI公司推出的16位高性能单片机,具有丰富的片内资源,包括时钟模块、捕获/比较模块、Flash模块、看门狗定时器模块、定时器模块、以及通用I/O口模块等。

3 参数转换电路

3.1 直流电压测量电路

直流电压电路如图2所示,可选择3个档位0~3V,0~30V,0~300V。通过电阻分压把被测电压调整到AD的量程(0~3.3V)内。本设计AD转换使用单片机片内集成AD,AD参考电压为3.3V。图中1M电阻和104电容组成低通滤波器可以滤除表笔与被测物体接触时产生的高频信号和空间的电磁干扰使得测量结果更加稳定[1]。

电阻计算:由于电压表要求接到电路上时对电路的电压影响要下,所以输入阻抗越大越好,本设计选择输入阻抗Ro=10M。

3.2 直流电流测量电路

测量电流的原理是根据欧姆定律,用合适的取样电阻把待测电流转换为相应的电压,再进行测量,被测电流Ii=Ui/R,Ui=IiR。从图3可以看出,测量电路图中有2A保险丝管,电流过大时会快速熔断,起过流保护作用,由于测量电流是可能会有大电流通过采样电阻所以要考虑采样电阻的功率,所以R4采用1W的电阻,R3、R2采用2W的绕线电阻。图中1M电阻和104电容组成低通滤波器可以滤除表笔与被测物体接触时产生的高频信号和空间的电磁干扰使得测量结果更加稳定[2]。

各档分流电阻的阻值是这样计算的,先计算最大电流档的分流电阻R4,,再计算下一档的R3,,依次可计算出R2和R1。

3.3 电阻测量电路

数字万用表中的电阻档采用的是比例测量法,其电阻测量电路见图4。由稳压芯片提供测量基准电压3.3V,流过标准电阻Ro和被测电阻Rx的电流基本相等,数字表头的输入阻抗很高,其取用的电流可忽略不计,图中1M电阻和104电容组成低通滤波器可以滤除表笔与被测物体接触时产生的高频信号和空间的电磁干扰使得测量结果更加稳定。A/D转换器的测量电压和测量基准电压具有如下关系:即:。

电阻计算:当被测电阻阻值在200Ω以下是用100Ω的电阻做分压电阻,;

以此类推…

可计算出。

3.4 交流电压测量电路

交流电压测量是通过二极管1N4007把被测电压进行半波整流,再通过分压电阻把电压降低,再通过电阻和电容组成低通滤波器滤成直流,再经过AD转化成数字值,再经过cpu计算出电压有效值,由于1N4007是普通整流二极管,反向恢复速度较慢所以不能测量高频交流电压[3]。

电阻计算:为了使200V档的分压比为100左右所以R1取9k;750V档的分压比为300所以R2取3k;(图5)

3.5 温度测量电路

(1)LM35简述

LM35系列是精密集成电路温度传感器,其输出的电压线性地与摄氏温度成正比。因此,LM35比按绝对温标校准的线性温度传感器优越感得多。LM35系列传感器生产制作时已经过校准,输出电压与摄氏温度一一对应,使用极为方便。灵敏度为10.0mV/℃,精度在0.4~0.8℃(-55~+150℃溫度范围内),重复性好,低输出阻抗,线性输出和内部精密校准使其与读出或控制电路接口简单和方便,可单电源和正负电源工作[4]。(图6)

(2)应用电路

3.6 频率和电容测量电路

(1)频率测量

频率测量主要是软件设计,单片机I/O口有电平判决功能,所以无论是,正弦波、三角波、还是锯齿波,只需把被测信号接到单片机I/O口上就可以测量,为了防止被测信号的驱动能力过强损坏单片机,在被测信号与单片机之间接上27k电阻防止电流过大。软件设置I/O口下降沿中断,每来一个下降沿计数加1,再用定时器B定时1秒,每次定时中断时读出计数结果并且清零计数。

(2)电容测量电路

电容测量是把电容转化成频率再测量频率来算出电容大小,电容频率变换电路利用lf356高速放大器和电阻电容产生自激振荡,频率。电容频率变换电路如图7所示。其中R为图中R1,R2或R3。因为本设计中单片机测量频率不能高于20kHz,所以要根据上面公式计算出各个电容档对应的电阻,使得振荡产生的频率在单片机测量范围内。例如:当测量nf级电容式对应电阻为27k时,产生的频率范围是168Hz~16.8kHz,满足频率测量范围。

4 系统软件流程

软件设计是设计中最难的部分,它需要把所有的功能综合在一起,由于本设计的功能比较多,要让多种测量方式之间能正常转换,需要设置多种中断,要控制各种中断的开启时间和关闭时间,软件设计包括,AD转换,测量结果转换,LCD显示驱动。系统总体流程图如图8所示,系统开机后,首先进行LCD初始化,ADC初始化,定时器初始化,I/O口中断初始化,开总中断,然后根据按键的输入状态,执行相应处理。

5 结语

本论文结合数字万用表项目,主要论述了各种物理量的测量原理、硬件和软件的设计等。选用了具有较大的片内存储空间的高性能16位微处理器MSP430F149作为控制核心,利用片内高速12位AD转换器做AD转换,利用拨位开关选择测量物理量,利用LCD作静态显示。本文所讨论的数字万用表着眼于低硬件成本、高稳定可靠性、使用方便。具有极高的推广价值。

参考文献

[1]魏小龙.MSP430系列单片机接口技术及系统设计实例[M].北京.北京航空航天大学出版社.2002.45-47.

[2]常健生.检测与转换技术[M].机械工业出版社.2000.180-183.

[3]童诗白.模拟电子技术基础[M].高等教育出版社.2001.13-21.

数字钟课程设计 篇4

晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的脉冲,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。分频器电路

分频器电路将32768Hz的高频方波信号经74LS4060和74LS250的二分频的分频后得到1Hz的方波信号,可以供秒计数器进行计数。分频器实际上也就是计数器。时间计数器电路

时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,时个位和时十位计数器可以设计为12进制计数器或者24进制计数器,我们这里根据自己的意愿设计成24进制计数器。译码驱动电路

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。数码管

数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计采用的为LED数码管。

各单元模块设计和分析 晶体振荡器电路

晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。

图2 晶体振荡器电路图

分频器电路

通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。

通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32767Hz的振荡信号分频为1HZ的分频倍数为32767(2于15极2进制计数器。时间计数单元

时间计数单元有时计数、分计数和秒计数等几个部分。

时计数单元一般为24进制计数器计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码。

本实验采取了74LS90 用两块芯片进行级联来产生60进制和24进制

秒个位计数单元为10进制计数器,无需进制转换,只需将Q0与CP1(下降沿有效)相连即可。CP0(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CP1相连。

秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的15),即实现该分频功能的计数器相当电路连接,其中Q2可作为向上的进位信号与分个位的计数单元的CP0相连。

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,也是分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CP0相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CP0相连。60进制的连接如图4所示。时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为24进制计数器,所以在两块74LS90构成的100进制中截取24,就得在24的时候进行异步清零。24进制计数功能的电路如图5所示。

图5 24进制计数器电路

主要参考文献

《电子技术基础》

康华光

高教出版社 《电子线路设计、实验与测试》

谢自美

华中科技大学出版社 《电子技术实验》

汪学典

华中科技大学出版社 课程设计摘要 中文摘要

此次课程设计以数字钟为例,全面的利用了所学的知识,设计出了生活中常见的东西。数字钟主要有多谐振荡器、分频器、计数器、译码器组成。主要芯片有74LS90、CC4511。有多谐振荡器产生约1Mz信号脉冲。满24计数器自动复位,从而实现24 小时计时。

数字电路课程设计 数字钟 篇5

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。

振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。

分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。

计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。

译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。

为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词 数字钟 振荡 计数 校正 报时

目 录 设计目的...........................................................4 2 设计任务...........................................................4 3数字电子钟的组成和工作原理..........................................4 3.1数字钟的构成......................................................4 3.2原理分析..........................................................4 3.3数字点钟的基本逻辑功能框图........................................5 4.数字钟的电路设计..................................................5 4.1 秒信号发生器的设计...............................................6 4.2时间计数电路的设计................................................8 4.3译码显示电路.....................................................10 4.4正点报时电路的设计................................................12 4.5校时电路的设计....................................................13 5设计心得........................................................14 6参考文献.............................................................15

1设计目的

在学完了《数字电子技术基础》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。

2设计任务

2.1设计指标

1.时间计数电路采用24进制,从00开始到23后再回到00; 2.各用2位数码管显示时、分、秒;

3.具有手动校时、校分功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4.计时过程具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。5.为了保证计时的稳定及准确,须由晶体振荡器提供时间基准信号。2.2设计要求

根据选定方案确定实现设计要求的基本电路和扩展电路,画出电路原理图。

3数字电子钟的组成和工作原理

3.1数字钟的构成

数字钟一般由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路。3.2原理分析

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。3.3数字点钟的基本逻辑功能框图

图1 数字钟的基本逻辑框图

4数字钟的电路设计

下面将介绍设计电路具体方案:其中包括电源电路的设计、秒信号发生器的设计、时间计数电路的设计、译码驱动显示电路的设计、正点报时电路的设计、校时电路的设计几个部分。

4.1 秒信号发生器的设计

晶体振荡分频电路石英晶体振荡电路 1.采用频率fs=32768Hz的石英晶体。

D1、D2是反相器,D1用于振荡,D2用于缓冲整形。Rf为反馈电阻(10~100MΩ),反馈电阻的作用是为CMOS反相器提供偏置,使其工作在放大状态。C1是频率微调电容,改变C1可对振荡器频率作微量调整,C1一般取5~35pF。C2是温度特性校正用的电容,一般取20~405pF,电容C1、C2与晶体共同构成Ⅱ型网络,完成对振荡器频率的控制,并提供必要的1800相移,最后输出fs=32768Hz。

图4 石英晶体振荡电路

2.多级分频电路

将32 768Hz脉冲信号输入到CD4060(内部结构如图4-4)组成的脉冲振荡的14位二进制计数器,所以从最后一级Q14输出的脉冲信号频率为:32768/214 = 32768/16384 = 2Hz 如图6。再经过二次分频,得到1Hz的标准信号脉冲,即秒脉冲如图7。

图5 CD4060内部结构

图6 脉冲分频电路

图7 秒信号原理图

图8 晶体振荡及分频电路

4.2时间计数电路的设计

秒信号经秒计数器、分计数器、时计数器之后,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时输出信号,然后送至译码显示电路,以便实现用数字显示时、分、秒的要求。“秒”和“分”计数器应为六十进制,而“时”计数器应为二十四进制。采用10进制计数器74LS162来实现时间计数单元的计数功能,其为双2-5-10异步计数器,并且每一计数器均有异步清零端(高电平有效)。4.2.1“分”、“秒”六十进制计数器

选用两块74LS162采用异步清零的方法完成60进制。以“秒”计数为例:计秒时,将秒个位计数单元的QA与CP(下降沿有效)相连,将74LS162连接成10进制计数器,BCPA(下降沿有效)与1HZ秒输入信号相连,QD可作为向上的进位信号与十位计数单元的CPA相连。秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6(0110)进制计数器,当十位计数器计到QD QC QB QA为0110时,同时对秒的个位和十位进行清0,另外QC可作为向上的进位信号与分个位的计数单元的CPA相连。其具体连接图如图9CPA相连,其具体连接图如图9。7

图9 六十进制计数器

4.2.2二十四进制计数器

同样可以选用两块74LS162采用异步清零的方法完成24进制计数 如图10。

图10二十四进制计数器

4.3译码显示电路

译码显示电路是将计数器输出的8421 BCD码译成数码管显示所需要的高低电平,我们采用阴极七段数码管,引脚如图11。

其则译码电路就应选接与它配套的共阴极七段数码驱动器。译码显示电路可采用CD4511BC-7段译码驱动器,其芯片引脚如图12。译码器A、B、C、D与十进制计数器的四个输出端相连接,a、b、c、d、e、f、g即为驱动七段数码显示器的信号。根据A、B、C、D所得的计数信号,数码管显示的相对应的字型。其具体电路图如图13。

图11 阴极七段数码管

图12 芯片CD4511BC-7段译码驱动器引脚

图13 译码显示电路

4.4正点报时电路的设计

要求当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。即当时间达到xx时59分50秒时蜂鸣器开始响第一次,并持续一秒钟,然后停鸣一秒,这样响五次。在59分50秒到59分59秒之间,只有秒的个位计数,分的十位QD QC QB QA输出0101,个位QD QC QB QA 输出1001,秒的十位QD QC QB QA 输出0101均不变,而秒的个位QA计数过程中输出在0和1之间转。所以可以利用与非门的相与功能,把分十位的QC、QA ,分个位的QD、QA,秒十位的QC、QA 和秒个位的QA相“与非”作为控制信号控制与非门的开断,从而控制蜂鸣器的响和停。如图14。

图14 整点报时电路

4.5校时电路的设计

时钟出现误差时,需校准。校对时间总是在标准时间到来之前进行,分四个步骤:首先把小时计数器置到所需的数字;然后再将分计数器置到所需数字;在此同时或之后,将秒计数器在零时停计数,处于等待启动;当选定的标准时刻到达的瞬间,按起动按钮,电路则从所预置时间开始计数。由此可知,校时电路应具有预置小时,预置分、等待启动、计时四个阶段,因此,我们设计的校时电路,方便、可靠地实现这四个阶段所要求的功能。

图15数字电子钟的计数校正电路

5设计心得

本次实验培养了我的团队合作精神,两人分工明确,我们一起处理实验过程中遇到的难题,在每连接好一个模块后,我们认真地检查电路,这样大大减少了实验出错的机率,为最后成功完成实验节省了不少的时间。

本次数字钟电路设计实验还做到理论联系实际,刚刚学过了数电这门课程,还没完全弄懂某些门电路的原理和用途,而此次课程设计恰恰提供了一个好机会,让我们从实践中加深了对所学知识的理解。参考文献

数字钟课程设计 郭杰 篇6

数字钟课程设计

第 1页

班级:电094

姓名: 学号: 指导教师:

课 程 设 计 说 明 书

目 录

一、课程设计题目„„„„„„„„„„„„„„2

二、课程设计的设计任务和基本要求„„„„„„2

三、课程设计题目分析 „„„„„„„„„„„2

四、课程设计的电路设计部分 „„„„„„„„3

五、使用元器件说明 „„„„„„„„„„„„11

共 页

第 1页

课 程 设 计 说 明 书

电子技术课程设计

一、课程设计题目: 数字钟

二、课程设计任务和基本要求: ☆ 设计任务

设计一台可以显示时、分、秒的数字钟。☆ 基本要求

●能直接显示时、分、秒的数字钟,要求时针进制为十二进制。●当电路发生走时误差时,要求电路具有校时功能。

●要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。

三、课程设计题目分析: ☆ 设计要点

●设计一个精确的秒脉冲信号产生电路 ●设计60进制、12进制计数器 ●设计译码显示电路 ●设计分频器 ●设计校准电路 ●设计整点报时电路 ☆ 工作原理

数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用12进制计数器。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。校时电路是来对“时、分、秒”显示数字进行校对调整。

共 页

第 1页

课 程 设 计 说 明 书

课程设计的电路设计部分

● 译码显示电路

译码电路的功能是将秒、分、时计数器的输出代码进行翻译,变成相应的数字。用与驱动LED七段数码管的译码器常用的有74LS48。74LS48是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。若将秒、分、时计数器的每位输出分别送到相应七段译吗管的输入端,便可以进行不同数字的显示。需要数码管串联电阻R作为限流电阻。

共 页

第 1页

课 程 设 计 说 明 书

振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz脉冲。

数据计算

设计要求振荡源输出1kHz的方波,并且占空比为50%。则计算过程如下:

由占空比50%,可知R1=R2。

T=T1+T2=(R1+R2)Cln2

取C=100nF,R1=R2= T/Cln2=0.001/2*0.69C=7.2kΩ

电路图中取值为7.15kΩ.共 页

第 1页

课 程 设 计 说 明 书

分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电 路所需要的信号,选用三片74LS90进行级联,因为每片为1/10分频器,三片级联好 获得1Hz标准秒脉冲。其电路图如下:

分频器

共 页

第 1页

课 程 设 计 说 明 书

秒、分、时计时器电路设计

秒、分计数器为60进制计数器,小时计数器为12进制计数器。实现这两种模数的计数器采用中规模集成计数器74LS160构成。

60进制计数器

由74LS160构成的60进制计数器,将一片74LS160设计成10进制加法计数器,另一片设置6进制加法计数器。两片74LS160按反馈清零法串接而成。秒计数器的十位和个位,输出脉冲除用作自身清零外,同时还作为分计数器的输入脉冲CLR(1)。下图电路即可作为秒计数器,也可作为分计数器。

进 制 计 数 器

共 页

第 1页

课 程 设 计 说 明 书

12进制计数器

由74LS160构成的十二进制计数器,将一片74LS160设计成2进制加法计数器,另一片设置1进制加法计数器。即个位计数状态为Qd Qc Qb Qa = 0010十位计数状态为Qd Qc Qb Qa = 0001时,要求计数器归零。通过把个位Qc、十位Qb相与后的信号送到个位、十位计数器的清零端,使计数器清零,从而构成12进制计数器。电路图如下: 进 制 计 数 器

共 页

第 1页

课 程 设 计 说 明 书

● 校时电路

方法一

校时电路是数字钟不可缺少的部分,每当数字钟与实际时间不符时,需要根据标准时间进行校时。K1、K2分别是时校正、分校正开关。不校正时,K1、K2开关是闭和的。当校正时位时,需要把K1开关打开,然后用手拨动K3开关,来回拨动一次,就能使时位增加1,根据需要去拨动开关的次数,校正完毕后把K1开关闭上。校正分位时和校正时位的方法一样。其电路图如下:

校 正 电 路

共 页

第 1页

课 程 设 计 说 明 书

方法二 电路图如下:

整点报时电路

共 页

第 1页

课 程 设 计 说 明 书

仿广播电台整点报时电路设计,每当数字钟计时快到整点时发出响声,四低一高并且以最后一声高音结束的时刻为整点时刻。

整点报时电路

五、元器件使用说明:

⑴ 集成异步十进制计数器74LS90原理说明:

集成异步十进制计数器74LS90它是二-五-十进制计数器。74LS90具有异步清零和异步置九功能。当R0全是高电平,R9至少有一个为低电平时,实现异步清零。当R0至少有一个低电平,R9全是高电平时,实现异步置九。当R0、R9为低电平时,实现

共 页

第 1页

课 程 设 计 说 明 书

计数功能。

74LS90功能表如下

:

555定时器

共 页

第 1页

7课 程 设 计 说 明 书

振荡器由555定时器构成。在555定时器的外部接适当的电阻和电容元件构成多谐振荡器,再选择元件参数使其发出标准秒信号。555定时器的功能主要由上、下两个比较器C

1、C2的工作状况决定。比较器的参考电压由分压器提供,在电源与地端之间加上VCC电压,且控制端VM悬空,则上比较器C1的反相端“-”加上的参考电压为2/3VCC,下比较器C2的同相端“+”加上的参考电压为1/3VCC。若触发端 S的输入电压V2≤1/3VCC,下比较器C2输出为“1”电平,SR触发器的S输入端接受“1”信号,可使触发器输出端Q为“1”,从而使整个555电路输出为“1”;若阈值端R的输入电压V6≥2/3VCC,上比较器C1输出为“1”电平,SR触发器的R输入端接受“1”信号,可使触发器输出端Q为“0”,从而使整个555电路输出为“0”。控制电压端VM外加电压可改变两个比较器的参考电压,不用时,通常将它通过电容(0.01μF左右)接地。放电管T1的输出端Q′为集电极开路输出,其集电极最大电流可达50mA,因此,具有较大的带灌电流负载能力。若复位端 RD加低电平或接地,可使电路强制复位,不管555电路原处于什么状态,均可使它的输出Q为“0”电平。只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可方便地构成多谐振荡器。

(3)74LS48译码器

7段显示译码器74LS48是输出高电平有效的译码器,其引脚图和真值表如下:

共 页

第 1页

课 程 设 计 说 明 书

由7448真值表可获知7448所具有的逻辑功能:

共 页

第 1页

课 程 设 计 说 明 书

(1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI也可以接低电平。(2)消隐功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。(3)灯测试功能(LT = 0)

此时BI/RBO端作为输出端,端输入低电平信号时,表1最后一行,与 及DCBA输入无关,输出全为“1”,显示器7个字段都点亮。该功能用于7段显示器测试,判别是否有损坏的字段。

(4)动态灭零功能(LT=1,RBI=1)

此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。DCBA≠0,则对显示无影响。该功能主要用于多个7段显示器同时显示时熄灭高位的零。

(4)74LS160功能介绍

下图为74LS160管脚图及其功能表

共 页

第 1页

课 程 设 计 说 明 书

主要功能介绍

74LS160异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。

160的预置是同步的。当置入控制器/PE为低电平时,在CP上升沿作用下,输出端Q0-Q3与数据输入端P0-P3一致。对于54/74160,当CP由低至高跳变或跳变前,如果计数器控制端CEP、CET为高电平,则/PE应避免由低至高电平的跳变,而54/74LS160无此种限制。

160的计数是同步的,靠CP同时加在四个触发器上而实现的。

当CEP、CET均为高电平时,在CP上升沿作用下Q0-Q3同时变化,从而消除了异步计数器中出现的计数尖峰。对于54/74LS160的CEP、CET跳变与CP无关。

160有超前进位功能。当计数溢出时,进位输出端(TC)输出一个高电平脉冲,其宽度为Q0的高电平部分。

在不外加门电路的情况下,可级联成N位同步计数器。

共 页

第 1页

课 程 设 计 说 明 书

对于54/74LS160,在CP出现前,即使CEP、CET、/MR发生变化,电路共页

课程设计多功能数字钟 篇7

1 系统总体方案设计

本设计采用模块化设计, 系统的整体方案框图如图1所示。

系统由单片机、控制按键、LCD12864、收音模块、电子钟、存台部分、测温系统及功放电路等组成, 通过单片机最小系统驱动和控制子模块, 构成一个可调、存台, 显示当前频率、时间、温度的多功能数字收音机。

2 系统硬件模块设计

2.1 控制模块

控制模块是设计的核心, 控制各个功能模块数据的读入、处理、输出, 使各个模块连接在一起组成一个有机整体。控制模块主要由STC89C52单片机和外围电路组成的最小系统。单片机最小系统包括开关指示电路、复位电路、时钟电路、IO口连接电路组成,

2.2 TEA5767模块

采用TEA5767实现集成收音机模块。RF接收频率范围是76~108MHz, ;双声道音频输出的电压约在60~90m V, 带宽为22.5k Hz。具有高灵敏度的低噪声放大器、自动增益控制的性能, 使用更加方便。可以采用32.768k Hz的晶体振荡器产生参考时钟。TEA5767模块电路其中, scl和sda分别为收音模块与单片机的接口, 遵循I2C总线协议, 通过I2C来获取中频计数器值与接收的各种信号的电平, 以便于进行自动调谐;output为左右声道的输出端口。

实际使用的天线一般为波长的1/4时接收的信号强度较好, 通过功率放大出来的声音最清晰, 天线长度的计算公式:l=λ/4c/f/4

其中:λ为接收频率所对应的波长, c为光在真空中的传播速度, f为所接收的频率。

本设计选取100MHz为计算天线长度的频率:

2.3 温度采集模块

温度传感器DS18B20具有体积小, 抗干扰能力强, 精度高, 附加功能强等特点, 采用该芯片构成温度采集模块。DS18B20的引脚DQ通过一个上拉电阻与单片机的P2.0连接。

2.4 数字钟模块

DS1302是采用涓流充电的时钟芯片, 通过SPI串行接口与单片机89C52进行通信, 提供年、月、日、时、分、秒、星期的时间信息, 根据实际情况每月的天数和闰年的天数可自行调整准确。DS1302的典型应用。

clock2为芯片外接的32.768k Hz晶振。为了防止掉电使得时钟不准确, 可以外接电池BATTERY, 当掉电时, 可通过外部电池继续供电, 从而保证时间准确。

2.5 按键模块

采用一个四按键的独立键盘, 按键key0用来指示液晶光标指向的地址, 每按一次换一个地址, 配合按键key1, key2调整时间的年、月、日、星期、时、分、秒, 单独按下key1或者key2可调节频率, 按下key1频率上加, 按下key2频率下减, 范围从87.5MHz~108MHz, 步进为0.1MHz。key3控制存台或者读台, 搜到一个频道后按一下key3则可以存储当前的电台, 最多可存储10台, 按一下key0, 再按key3为读台, 每按一次可根据存台的先后顺序读出存储的电台频率。

2.6 存储模块

24C02与单片机的接口非常简单。CE0, CE1, CE2为器件地址线, MODE为写保护引脚, SCL, SDA为二线串行接口, 符合I2C总线协议。

2.7 功放模块

TEA5767采用的是单声道音频输出, 输出信号微弱, 需要经过功率放大。本设计采用功率放大芯片TDA2030, 电路简单并能够很好的实现功率放大, 提高驱动能力。R/L_out与收音模块的左声道或者右声道连接, 通过Rw1可以调节音量, 音频放大电路的放大倍数通过Rw2可以调节。

3 程序设计

3.1 主函数程序设计

主函数调用初始化函数后, 由一个循环不断进行按键扫描、频率和时间的读写、刷新显示。

3.2 频率调节程序设计

对芯片寄存器进行操作的关键是设置接收频率, 接收频率设置参数可以通过以下式子得到:

其中, FRF:接收频率 (k Hz) ;FIR:中频 (TEA5767为225k Hz) ;FREFS:参考频率 (由TEA5767外接晶振而定) 。

3.3 时间调整程序设计

按键Key0为复用功能键, 第一次按下则进入时间调整函数, 以后每按一次key0计数变量自加1次, 液晶上光标会移动到对应年、月、日、星期、时、分、秒的位置, 光标完整地走完一圈后自动退出时间调整函数;按键key2为对应要调整的时间加一键, 每按一次时间值加1;Key3为减1键。

4 结论

采用8位的微控制芯片STC89C52来控制数字收音机模块TEA5767, 结合按键调频控制、存台读台、液晶显示、功率放大, 以及外加功能温度检测、电子时钟设计, 构成了一个多功能的FM收音机系统。该系统具有电路简单易懂、体积小、易操作的特点, 且收音机具有频带宽、抗干扰能力强、音质清晰的优点。

参考文献

[1]林锋, 孙军.应用TEA5767的调频收音电路设计[J].微计算机信息, 2005 (2) .

[2]黄燕宇.TDA2030在单电源供电音频放大电路中的应用[J].硅谷, 2010 (8) .

课程设计多功能数字钟 篇8

[关键词]数字逻辑设计及实验教学内容教学方法教学手段教学考核

《数字逻辑设计及实验》课程是计算机专业的一门重要专业基础课程。它是由《数字电路》与《逻辑设计及实验》两门课合成的一门新课,系统地介绍了数字电路和逻辑设计的基础知识、基本分析方法和设计方法,并演示了逻辑电路的典型实验。这门课程的内容多、学时少,在教学过程中极易出现教学重点不明确、教学主次不清晰、学生对重点难点的掌握不到位的情况。因此,教师必须优化教学内容、改革教学方法、改进教学手段、改善考核方式,以提高教学质量,更好地达到教学目标。

一、教学内容的优化

随着计算机技术和微电子技术的迅速发展,新概念、新器件和新方法的出现,必然引起课程内容的调整和优化。根据电子技术发展的客观实际和“厚基础、宽口径、大专业”的高校人才培养目标,教学内容的改革必须符合“打好基础、精选内容,逐步更新、利于教学”的要求。

由于《数字逻辑设计及实验》课程的教学内容多、学时少,为了完成教学任务,通常会把每章节的内容做些删减,以便加快教学进度。在某种程度上会造成知识的不连贯,让学生难以把握重难点。笔者结合教学实际,对教学内容进行了两方面的优化。

1理论教学内容的优化。一方面,数字逻辑电路发展几十年,其理论基础并未发生根本变化。逻辑代数仍然是数字逻辑电路的数学基础;组合逻辑电路和时序逻辑电路仍然是数字电路分析和设计的基本对象;真值表、卡诺图、逻辑表达式等方法仍然是数字逻辑电路分析和设计的重要工具。另一方面,集成芯片不断发展,单个芯片所能实现的逻辑功能日趋复杂,数字逻辑电路的分析和设计也日趋丰富。所以在教学内容的优化上应实现“确保基础,强化能力,重视外部,淡化内部,联系实际,突出应用”要求。

为此,笔者对理论教学内容做了如下调整:第一,详细讲解组合逻辑电路和时序逻辑电路的分析方法和设计方法。结合若干典型的逻辑集成芯片,如译码器、数据选择器、触发器、计数器等,重点介绍由集成芯片构成的逻辑电路的分析和设计。要求学生熟练掌握芯片的应用。第二,削减集成芯片内部结构及详细工作过程的介绍,着重讲解集成电路的逻辑功能、外部特性和典型应用。如在第三章中,重点介绍OC门、OD门、三态门和传输门的功能、符号及应用,而对TTL门电路和CMOS门电路的结构工艺适当削减。第三,EDA软件、Verilog HDL描述语言只作入门介绍,在后续的《数字逻辑设计课程实践》中再详细介绍。

2实验教学内容的优化。实验教学是在学生系统学完相应理论章节之后,使之能够运用所学知识分析实验课提出的实际问题,综合设计出逻辑电路,并能科学地进行观察和判断,排除故障,以达到设计的目的。

由于《数字逻辑设计及实验》这门课程实验学时少,笔者认为实验的重点应放在中规模集成电路上,而且把这些芯片当做一个整体器件应用,而不是着重于它的内部电路组成。电路逻辑功能的讨论研究又应该是实验的重点所在。在实验内容的改革中减少了电路基本原理的测试,增加了电路的设计和分析。改进后的实验共4个,占16学时。实验一:三态门和OC门的研究,熟悉这两种特殊的门电路,并由这两种门电路组成总线方式的数据传输电路。实验二:用MSI设计组合逻辑电路,掌握数据选择器、译码器和全加器等中规模集成电路(MSI)的使用方法。要求学生设计二进制数及8421BCD码的大小判别电路和血型遗传规律电路。实验三:集成触发器,掌握集成D触发器和集成JK触发器的使用。要求学生设计抢答器电路。实验四:用MSI设计时序逻辑电路,掌握集成计数器和双向移位寄存器的使用方法。要求学生用74LSl60设计简单数字电子钟。

二、教学方法的改革

传统的教学方法是以教师为主体,讲授各种数字电路的基本概念、工作原理和逻辑功能,而学生成了旁观者,被动地接受知识灌输,结果使他们失去了学习兴趣,对高深的理论知识望而却步。因此,在教学上要积极采用多种教学方法以提高学生的学习积极性,加强教师与学生的沟通,突出学生的主体地位,强化知识的系统性和连贯性,达到良好的教学效果。

1启发式教学。采用启发式教学调动学生的主动性、积极性和创造性。教师要精心设计教案,注重知识点的引入和综合运用,使课堂教学有声有色,教与学达到良好互动。如在介绍OC门一节时,教师先提出TTL门不能“线与”的缺点,要使其能够“线与”又要进行怎样的改进?从而引出OC门的概念,进入OC门电路结构的学习,再比较OC门和TTL门的优缺点。

2案例式教学。案例式教学是通过教师采用案例引导来说明理论知识,学生通过案例的分析研究加深对理论知识的理解,从而提高学生分析、归纳和总结的能力。教师要善于列举贴近实际的典型例题,激发学生的强烈求知欲望,加强学生的联系能力、发散思维能力。如在讲完组合逻辑电路这一章时,教师举了父母血型与子女血型遗传关系电路的例子,先用基本逻辑门电路设计,再分别用译码器、数据选择器(附加少量门电路)设计,不但使知识系统深化,而且起到了举一反三的作用。

3讨论式教学。讨论式教学是指在教学过程中给学生留出充分的思维空间,留出一些问题让学生研究、讨论,以锻炼他们独立分析问题和解决问题的能力,提高其创新能力。

三、教学手段的改进

传统的教学方法用黑板教学,照本宣科,内容枯燥,教学效果差。为了加强教学效果,教师应注重多种教学手段的研究与实践,利用有效的教学资源,形成课堂教学+实验教学+网络教学的多元化教学模式,从而将教与学紧密结合起来,有效调动学生的学习热情。

1多媒体教学。多媒体技术的最大优势在于交互性和集图文声像为一体,不仅有利于激发学生的学习兴趣,营造互动的课堂氛围,还有利于提高教学效率和学生的学习效果。在《数字逻辑设计及实验》课程的教学中应充分利用多媒体教学手段,强调内容的逻辑性和循序渐进性,由简到繁、由点到面,使学生轻松掌握各知识点,提高教学效果。

2实验教学。实验教学能巩固理论教学的知识,激发学生的学习兴趣和求知欲,能很好地培养他们的应用能力和创造能力。在实验教学中形成课堂——实验——课堂的循环信息刺激,有利于学生加深对知识的理解。《数字逻辑设计及实验》课程有明确的实验大纲和实验内容,要求学生在完成指定实验后书写完整的实验报告,已经形成较

为完善的实验教学体系。

3网络教学。网络教学是利用网络课堂进行辅助教学,丰富网络教学资源,通过编写教学案件、制作题库,为学生提供网络学习环境。网络教学能突破时间和空间的限制,在任何时刻访问课程的相关信息,方便学生自学和答疑。《数字逻辑设计及实验》课程已有教学案件和网络题库,有效提高了学习的便捷性。

四、考核方式的改善

《数字逻辑设计及实验》课程的考核包括理论知识的考核和实验知识的考核,各占总成绩的60%和30%,另外,平时考核占10%。这种考核方式改变了传统的一锤定音的考试方式,逐步建立了“平时考核、理论知识、实际动手”三者并重的考核模式。平时考核包括考勤、课堂提问、课后作业、答疑等,其目的是引导学生学习的积极性和主动性,减轻学生期末考试的压力。理论知识的考核主要是期末的考试环节,其目的是督促学生学习的自觉性。科学合理的考试试题不仅能检验学生对所学知识的掌握程度,而且能以考试成绩给学生认可,建立正确的学习方法和学习态度。实验知识的考核主要是以学生做实验时的表现和完成实验报告的情况来评估的。要求学生在每次做实验之前必须认真预习,没有预习好或没有设计好电路和测试实验步骤,就不允许学生实验。另外,在实验中要引导学生坚持“先想后动”的原则。做完实验后要求学生认真写好实验报告,实验报告是实验的一个重要环节,也是一个再提高和知识升华的过程。要求学生写报告一定要真实,养成严谨的学风。

总之,在改善考核方式时要发挥考试的导向作用,抓住考核这一教学环节,引导和教育学生端正考试动机,巩固课程的教学质量,同时加强理论与应用的结合。

教学是一门艺术,需要不断探索和改进。在《数字逻辑设计及实验》课程的教学中,优化教学内容,改革教学方法,改进教学手段,改善考核方式是提高教学质量的重要基础;注重培养学生分析问题和解决问题的能力,是适应时代发展的需要。

参考文献:

[1]康华光,电子技术基础数字部分(第五版)[M],北京:高等教育出版社,2006。

[2]邓水先,《数字逻辑电路》课程的教改探索[J]职业教育研究,2008,(8):68-69。

上一篇:计算机软硬件日常维护管理规程下一篇:办理个人存款证明的操作流程