eda数字钟程序

2024-09-06

eda数字钟程序(共9篇)

eda数字钟程序 篇1

设计题目:用VHDL语言实现数字钟的设计

班 级:电子1002班 学 号:20102625 姓 名:于晓 指导教师:李世平、李宁 设计时间:2012年12月

摘要

数字钟是一种用数字电路技术实现时、分、秒计时的钟表。本设计主要是实现数字钟的功能,程序用VHDL语言编写,整体采用TOP-TO-DOWN设计思路,具有基本的显示年月日时分秒和星期的功能,此外还有整点报时功能。该数字钟的实现程序分为顶层模块、年月模块、日模块、时分秒定时模块、数码管显示模块、分频模块、星期模块,此外还有一个库。该程序主要是用了元件例化的方法,此外还有进程等重要语句。

没有脉冲时,显示时分秒,set按钮产生第一个脉冲时,显示年月日,第2个脉冲到来时可预置年份,第3个脉冲到来时可预置月份,依次第4、5、6、7、8个脉冲到来时分别可预置日期、时、分、秒、星期,第 9个脉冲到来时设置星期后预置结束,正常工作,显示的是时分秒和星期。调整设置通过Up来控制,UP为高电平,upclk有脉冲到达时,预置位加1,否则减1。当整点到达时,报时器会鸣响,然后手动按键停止报时。

关键词:数字钟,VHDL,元件例化,数码管

1、课程设计目的

掌握利用可编程逻辑器件和EDA设计工具进行电子系统设计的方法

2、课程设计内容及要求

设计实现一个具有带预置数的数字钟,具有显示年月日时分秒的功能。用6个数码管显示时分秒,set按钮产生第一个脉冲时,显示切换年月日,第2个脉冲到来时可预置年份,第3个脉冲到来时可预置月份,依次第4、5、6、7个脉冲到来时分别可预置日期、时、分、秒,第 8个脉冲到来后预置结束,正常工作,显示的是时分秒。Up为高电平时,upclk有脉冲到达时,预置位加1.否则减1,还可以在此基础上增加其它功能。

3、VHDL程序设计

3.1整体设计思路

本设计采用top-down 模式设计,分模块进行,各功能都使用元件例化方式设计,主要有LED显示模块、时分秒定时模块、日期模块、年月模块、分频模块、星期模块,此外还创建了一个程序包,用来实现年月日、时分秒的加减调整。主要运用了过程语句、元件例化语句、信号赋值语句、和顺序语句

图3-1-1 整体结构图

图3-1-2 顶层模块引脚图

3.2各模块设计思路

3.2.1 普通计数器(时、分、秒、月、年计数器)设计

时钟模块通过调用程序包的时分秒加减过程语句实现两个六十进制,一个二十四进制,秒的进位信号作为分的计数时钟信号,分的进位信号作为时的时钟信号。时的进位信号通过管脚映射到日期模块的计数时钟信号。

定时功能在时分秒模块中,是由分计数器在到达59时产生一个脉冲,让speaker产生高电位鸣响。

年月模块主要实现月份的十二进制计数器,和100进制的年份计数器。月份的计数信号由日期模块的进位信号传递过来,年份的时钟信号由月份的进位信号产生。

图3-2-1 时分秒引脚图 图3-2-2 年月引脚图 3.2.2 可变进制计数器(天计数器)模块设计

不同月中的天的数量是不同的,例如“大月”就有31“天”,“小月”有30“天”,平年“二月”有28“天”,而闰年“二月”有29“天”。所以天计数器应该具备进制可变的性能。日期模块主要分为三个部分,预置日期加,预置日期减和产生进位信号,使月份增加。平闰年的判断是通过年月模块传输过来年份信号(两个4位的BCD码),如果高位的信号为“xxx0”且低位的信号为“xx00”(如20,84等),或高位为“xxx1”且低位为“xx10”(如32等)则判断为闰年。这种方法的包含了一百年中的所有闰年的情况。然后判断大月小月可以判断月份来确定30进制还是31进制。进位信号也是分为大月、小月、平年闰年来确定是否产生。

图3-2-3 日模块引脚图

3.2.3 LED显示模块

主要通过接受setpin的控制信号来选择显示的内容,把不同的信号赋给输出的端口,从而实现时分秒,年月日的切换。3.2.4 星期模块

通过七进制计数器实现,同时带有预置的功能,不能同年月调整联动,但是能单独调整。

图3-2-4 星期模块引脚图

4、仿真与分析

4.1 日模块

4.1.1 年份为2000年,月份为2月,有29天,初值设为2000年2月28日,仿真中日为:28、29、1、2、„

4.1.2 年份为1999年,月份为2月,有28天,初值设为1999年2月28日,仿真中日为:28、1、2、„

4.1.3 年份为2000年,月份为3月,有31天,初值设为2000年3月30日,仿真中日为:30、31、1、2、„

4.1.4 年份为2000年,月份为4月,有30天,初值设为2000年4月30日,仿真中日为:30、1、2、„

4.2 年月模块

初值设为1999年12月,lock为1时,显示年月,lock为3时,预置月,lock为2时,预置年

4.3 时分秒定时模块

lock为0时,显示时分秒,lock为5时,预置时,lock为6时,预置分,lock为7时,预置秒。当分到达59时,整点报时器响,speaker高电位,随着手动清零,恢复原位。

4.4 星期模块

初值设为星期1,仿真中显示为:1、2、3、4、5、6、7、1、„

4.5 分频模块

4.6 顶层设计模块

5、课程设计总结

本次课程设计历时两天半,经过自己不断的努力完成了数字钟的设计,程序代码的编写调试及仿真。以前只是看书或者编一些很小的程序用来仿真,觉得没怎么难,但当进行此次课程设计真正处理一个较大程序时,问题便都显现出来。虽然在这个过程中遇到了很多的问题,但是最终都得到了很好的解决。

我此次设计的程序是在课本原有数字钟程序的基础上进行添加更改得来的,最初在运行原有程序时很顺利,但是随着加的东西越来越多,程序中出现的问题也就越来越多。很多同学都觉得在已有程序上再添加东西看似简单,实则很容易混乱,理不清头绪,而且这个原有程序是用进程所写,比较麻烦。虽然这样容易出现问题,不过我觉得这是一个锻炼的好机会。、在处理分频模块时,最开始按照老师的要求设置了频率,但是当运行时,发现根本出不来,后来与同学讨论后,发现频率过大,后来改为八分频,使得分频

模块能够使用。在一开始加星期模块时,没怎么考虑,可是当加进去后才发现,星期模块不能与其他模块很好的相连,不能很好的做到与“日模块”相合,后来虽有改动,但最终没能改成功。在加定时器功能时,一开始单独为定时器列了一个模块,所写的程序也很复杂,错误百出,最后程序改好后,仿真却出不来。后来经过同学的提点,就把程序改简单了,单纯的来个脉冲就出现高电平,但后来仿真发现高电平一直在高位,没法给脉冲,最后没办法便手动脉冲。与顶层模块连接后,又发现分满59的脉冲没给,因为我的时分秒全都放在了一起,只能将定时模块挪到时分秒模块中,这样反而使得整个工程简单了一些。

在各个模块都能仿真成功后,顶层模块的程序与仿真却出现了很多问题。首先是顶层模块程序有很多警告,例如“second_waver”没有用到之类的,后来在改动的过程中,便把内变量换为了外变量,但是有些原来的警告没有了,但是新的警告又出现了,原本能够连好的U3与U4 模块均不能正常连接,后来与同学自习查找,才终于将错误找出,由于粗心大意误动了一些元件例化时的变量,使得时间拜拜浪费。最后在仿真的时候,仿真结果出不来,经过与同学商量在每个程序中都给年月日等变量均付了初值,才让仿真出来。

此次课程设计虽然只有短短的两天半的时间,但是经过前期的查找资料,后来的实验室实际操作,再到现在的报告总结,我收获了很多。其实完成一个设计,编程只是很小的一部分,最主要的在于查找资料以及调试程序,此次设计我在查找资料方面做的不是很充分,以至于设计的面很小,而且在遇到问题后不能很快的找出,以后一定要做好准备工作。此次课程设计中遇到的问题看似不大,但都是很好的问题,对我以后的设计有很大的帮助,一定会牢牢记住。

最后,此次课程设计的完成很大程度上取决于老师和同学对我的指导与帮助,这更能说明,一个较大设计的完成及实现,不是仅限于自身,我们要学会与别人交流沟通,才能做到更好。

6、参考文献

[1]李景华,杜玉远.可编程逻辑器件与EDA技术.沈阳:东北大学出版社,2000 [2] 姜如东,VHDL语言程序设计及应用,北京邮电大学出版社

[3] 康华光.电子技术基础(数字部分)[M].北 京:高等教育出版社,2001.

eda数字钟程序 篇2

1.1 技术概念

EDA (Electronics Design Automation, 电子线路设计自动化) 是数字系统设计的核心, 其融合了过计算机技术、电子技术、信息处理技术和自动化技术等, 通过计算机平台上安装EDA工具进行硬件设计和仿真模拟, 以硬件描述语言(VHDL) 完成数字系统设计、系统仿真 、系统测试等。随着数字系统设计的要求越来越精密化和集成化, 引入EDA技术无疑是电子技术未来发展的主要方向, EDA技术将简化电子数字系统设计流程, 提高设计一次性成功率, 缩短产品设计时间, 优化设计方案。

1.2 技术特征

EDA技术已经成为了现代电子系统设计的主导技术 , 其具体特征可以从3个方面进行阐述。 (1) EDA技术开辟了用软件设计的方法进行电子硬件设计的渠道, 在电子产品设计中, 硬件系统设计可通过计算机进行电路设计并进行模拟、仿真和测试, 由此简化了硬件系统设计的操作复杂度, 提高了产品的开发能力;(2) EDA技术是基于芯片的设计方法进行设计, 其集成化程度高, 可进行复杂电路芯片设计, 提升电子产品精细化设计的能力;(3) EDA技术通过EDA工具在计算机上自动完成电子产品的电路设计、性能分析、优化、仿真和测试, 其在硬件设计中无需涉及到硬件产品, 即可完成电子硬件从设计到模拟实现的全部流程, 自动化程度高。

1.3 设计工具

EDA设计工具非常的多 , 目前较为普遍应用的包括 :PADS系列、Expedition EnterPrise系列、Allegro系列、Protel系列、CADSTAR系列等。不同的EDA设计工具从功能上、资料上、操作难以程度上、价格上和市场占有率上略有不同。EDA设计工具比较如表1所示。

PADS强调封装库的制作, 并且具有中文版, 是目前硬件设计领域中主要应用的EDA工具之一; Expedition EnterPrise简称EE, 在多层板、自动布线等方面具有较好的设计能力;Allegro主要应用于电脑主板和手机主板的设计; Protel具有很好的灵活性和开放性是高校教学的基础课程内容之一; CADSTAR是EDA的地段设计工具, 主要专注于PCB设计。

1.4 技术要点

EDA技术要点主要包括可编程逻辑器件 (PLD) 和自顶而下的设计方法。可编程逻辑器件 (PLD) 是数字系统设计中按照用户对期间编程来确定集成电路的产生, 具有很好的集成性; EDA自顶而下的设计方法有利于分层和分部对数字系统进行设计, 设计者可以对各个模块单独设计、仿真和模拟,同一任务可由多人分步并行完成, 不仅提高了产品一次设计完成的成功率, 而且大大的缩短了产品的研发时间。

2 基于 EDA 技术的数字系统设计实例

2.1 设计目标

基于EDA技术设计24小时制数字时钟系统, 采用Max+PLUSⅡ软件平台, 数字系统具有时、分、秒和计数显示功能,时间调节功能和整点报时功能。设计目标是完成电子时钟数字系统的设计, 用此描述EDA技术在数字系统设计中的应用。

2.2 系统实现

电子时钟数字系统设计涉及的硬件包括时间计数器, LED显示器、数控按钮和语音读书器, 将硬件设备通过电路板连接, 根据时钟设计目标应用MAX+PLUSⅡ软件平台绘制数字系统设计流程图如图1所示。

将数字时钟系统划分为两大模块, 时钟显示模块和计数器模块。时钟显示模块采用时间计数器进行时钟、分钟和秒钟的设计, 可以通过计数调节器分别对时、分、秒进行调控, 时间调节器采用按键切换方式进行时、分、秒的切换, 设计两个按键按钮, 按钮A负责进行时、分、秒切换, 按钮B负责数字循环, 时钟计数器0-23计数, 分钟计数器0-59计数, 秒钟计数器0-59计数, 当秒完成60次计数时分钟加1, 当分钟完成60次计数时钟计数加1。计数器模块设计单按按钮B进入读秒计数显示, 启动秒钟计数器0-59计数, 秒针完成一次循环分钟数加1, 分钟完成一次0-59计数循环, 时钟加1。当分钟与秒钟同时处于“00”状态时启动语音读数器, 播报时钟计数。

3 数字系统设计引入 EDA 技术的重要性

随着数控技术的不断发展和应用领域的不断延伸, 电子电路板设计趋于小巧化、精细化、集成化方向发展, 而EDA技术改变了传统数字数字系统设计的方法和实现的手段, 将硬件设计转换为计算机编程设计, 在借助VHDL语言和EDA工具进行设计可简化电子产品设计思路, 提高数字系统设计一次成功效率, 分布、分层级、分模块设计降低硬件电路设计的复杂度, 提高电路设计的灵活度, 缩短设计周期。EDA采用VHDL硬件描述语言, 该语言采用计算机编程的方式描述硬件系统的逻辑功能、绘制电路结构、逻辑门设计等, 可设计精密电路、大规模数字系统, 在无需涉及组织硬件设备进行设计、仿真和模拟的前提下, 通过计算机进行设计、规划、测试、仿真和模拟, 不仅可以快速的完善产品设计, 并且能够极大的节约设计成本, 在未来的电子设计领域EDA技术必将成为主流技术。

4 结语

在数字电路设计中, EDA技术的特性自顶向下的设计方式和可编程逻辑器件对数字系统设计具有强大的集成和结构优势,是电子设备向着精细化、小巧化、集成化的方向发展, 在未来电子产品设计中, 基于EDA技术的数字系统设计必将成为电子产品开发的主流。介绍了EDA技术的概念、特征和操作工具等,通过简单的实例描述了基于EDA技术的数字系统设计思路, 最后论述数字系统设计引入EDA技术未来的发展前景非常广泛。

摘要:EDA是现代电子数字系统设计的核心技术,其基于电子芯片设计自动化特征,可实现电路数字逻辑系统设计,简化电路硬件设计的结构,提高数字系统可靠性和灵活性。对EDA技术的特征进行分析,介绍EDA设计工具及技术要点,以基于EDA技术的数字系统实例分析为基础介绍EDA技术在数字系统设计中的具体应用,证明EDA技术在电子设计和数字逻辑系统设计中的实用性。

eda数字钟程序 篇3

关键词:EDA技术;数字电子技术;实验中学;电子设计自动化;计算机;电路实验 文献标识码:A

中图分类号:G632 文章编号:1009-2374(2016)15-0047-02 DOI:10.13535/j.cnki.11-4406/n.2016.15.022

1 概述

数控机床是当代加工精度最高的设备,在整个制造业中运用最广泛。采用宏程序编程能加工几何形状比较复杂的零件,尤其是具有列表曲线、非圆曲线和曲面的不规则零件,如此能更高效地利用数控系统的性能,提高数控机床的生产效益。宏程序编程是根据加工零件图形轮廓规律运用数学公式、参数方程、微积分方程等有关数学知识利用基本计算方法来编写加工程序,解决实际工程问题。抓住图形轮廓规律,巧妙运用数学公式,灵活地运用好变量,可使宏程序编写得更灵活简便。宏程序编程千变万化,但万变不离其宗,掌握零件轮廓规律及其数学公式,就掌握了宏程序编程的方法。

2 宏程序

宏程序在制造业中运用广泛、实用性大,在宏程序的编写过程中能将数学定理、公式、微积分方程等相关知识点很好地运用到程序中,宏程序也是利用最基本的数学运算方法去解决实际工程制造问题的方法。宏程序编程变化灵活,可以活学活用,掌握零件轮廓特征和规律是宏程序编程的关键。利用数学知识,灵活运用变量,可以实现多种编程方法解决实际问题。

2.1 宏程序定义

使用宏变量编写出来的程序叫宏程序。编写一般的程序时,程序地址符后为常量,一个程序只能加工一个固定形状的零件,适用范围狭小。宏变量就是宏程序地址符后的变量,宏变量的改变可以运用赋值语句改变赋值的方法实现,使宏程序具有一般程序不能实现的通用性。合理调用子程序语句、配合循环语句和分支语句,可以编写出各种复杂零件、不规则曲面的加工程序。

2.2 宏程序的特点

宏程序和一般数控程序的主要区别在于能支持变量、运算及程序的流程控制。

2.3 宏程序的使用过程

使用宏程序时,首先要对参数变量进行赋值,其次对各个参数变量关系进行运算,最后通过逻辑判断达到控制程序跳转,达到对程序的控制。

2.4 宏程序指令格式(以FANUC 0i系统为例)

变量:就是取值能变化的量。当指定一个变量时,要在符号(#)的后面指定变量号。不能像通用程序语言那样给变量起名字。[例如]#1。

表达式也可用来指定变量号,但此时必须要将表达式放在方括号里面。[例如]#[#1+#2-10]。

运算命令:变量之间可以进行下列运算。右边的表达式可通过常数、变量、函数和算符结合。表达式中的变量#j和#k可用常数替代,另外也可以将表达式使用于左边表达式的变量号中。

运算符:包括算术运算符、条件运算符和逻辑运算符等。每个算符由两个字母组成,用来比较两个值,决定它们是否相等或一个值比另一个值小或大。

注意:不能用不等号。

循环语句:由循环判断语句、循环体和结束语

组成。

IF[〈条件表达式〉]GOTOn:如果指定的条件表达式满足,则转移到序列号为n的语句;如果条件表达式不满足,程序执行下一程序块。

循环(WHILE语句):在WHILE后指定条件表达式。如果当指定的条件表达式满足时,程序从DO执行到END。如果指定的条件表达式不满足,程序执行END后面的程序块。

备注:当指定的条件表达式满足时,执行WHILE后,程序从DO执行到END。如果指定的条件表达式不满足,程序执行与DO对应的END后面的程序块。条件表达式和算符与IF语句相同。DO和END后面的数值是指定执行范围的识别号,可用1、2、3作为识别号。

识别号(1~3)在DO~END循环中可多次使用,使用时注意程序中不能含有交叉循环。

3 椭球面方程及其参数方程

在空间直角坐标系下:

由式(1)所表示的曲面叫做椭球面或称椭圆面,其中a、b、c为任意正常数,通常假定a≥b≥c>0。该方程叫做椭球面的标准方程。

假设椭球面上任意一点P(x,y,z)如图1(a),设式(1)中a>b>c。如图1(b)所示,以O为球心,分别以a、b、c为半径作三个同心球,从O任引射线ON,设分别交三球面于A、B、C,则OA=a、OB=b、OC=C,将射线ON向XOY平面投影,设A、B、C的射影分别为A′、B′、C′,设∠ZON= ,∠XON=φ,过A′、B′分别作A′A1⊥XO、B′B1⊥XO,过A作平面⊥X轴,过B作平面β⊥Y轴,过C作平面γ⊥Z轴,设三平面交于一点P(x,y,z),则有:

4 应用实例

加工一个凸椭球,椭球的长半轴轴长为25mm,短半轴长为15mm,椭球高10mm,要求使用宏程序编写凸椭球加工的程序。

4.1 椭球加工思路

在椭球面高度范围内(0~10mm)垂直Z轴将椭球分为N份,所得所有截面与X0Y平面平行,截面与椭球相交曲线为椭圆,此椭圆轨迹可利用宏程序进行加工都与XY轴平行,N值越大加工效果越接近椭球形状的,加工精度越高。

4.2 选取宏变量

宏程序编制根据零件规律灵活选取宏变量是关键,选取宏变量不同,加工程序编写方法就不同。利用椭球面高度范围内(0~10mm)垂直Z轴将椭球分为N份加工凸椭球宏变量选取有两种:一种是等距法,将椭球面高度平均分为N等份,这种方法计算每份Z轴坐标较繁琐;另一种方法是等角度法,所分椭球截面曲线同一方向与椭球心夹角相等,都为 ,如图2所示。这种方法刚好套用得上椭球面的参数方程,计算Z轴坐标方便,所以加工凸椭球选用等角度法取宏变量,由上往下加工,采用了等角度增量,确保凸椭球各加工部位保持加工精度一致。根据凸椭球的参数方程可知,每增加一个转角 (0≤θ≤90°),就能计算出节点坐标P(x,y,z),P点的轨迹z=f(x)就是一个椭圆垂直Z轴的截面。增加的 越小,加工凸椭球的精度越高,表面粗糙度越好。

4.3 加工程序

5 结语

宏程序编程其关键在于宏变量的选取,选取不同的宏变量,编写的方法也不相同。编程时,要根据零件的结构特征,分析零件所需加工表面的几何关系,运用数学公式推导出所选参数宏变量间的关系。有效利用零件轮廓规律及其数学公式编写宏程序,大大提高了手工编写宏程序效率,解决了手工编写某些复杂零件、不规则曲面加工程序难题。

参考文献

[1] FANUC 0i-MC操作 B-64144CM/01[S].

[2] 赫英歧.巧用宏程序加工椭球面[J].科技经济市场,

2008,(10).

[3] 安春香.椭球面参数方程的推导及其参数方程的几何

意义[J].洛阳师范学院学报,1998,(2).

作者简介:何祥财(1981-),男,广西贺州人,上汽通用五菱汽车股份有限公司助理工程师,研究方向:汽车发动机制造设备维修。

eda数字钟程序 篇4

摘要:EDA技术结合数字电路课程设计课程是新教育改革的体现,创新的教学模式开启了学生的智慧,增强实践性与逻辑思维,激发学生热情。在阐述了EDA的特征及优势的同时,探究了它与数字电路课程设计的过程,最后对以新课程改革的观点分析课程设计的优势。

关键词:EDA技术 数字电路设计课 新课程改革

引言

随着社会科学技术不断发展,培养四有新人的重任略显重要。在日常生活中随处可见EDA技术的应用,电子信息时代,逐渐被HTML描述性语言代替。传统的理念及设计手段已经不能完全满足现代企业和社会的需求。在EDA(EleCTRonICs Design Automation)技术基础上,融入到数字电路课程是教育时代的要求,也是教学改革的新课程的要求。国家不仅重视创新发展,更注重培养人才,课程设计,直接影响学生的思想和培育。

1.EDA技术的特征及优势

1.1简单易于操作

计算机行业中,软件硬件的应用是相互结合的。那么,关于EDA技术应用的性质特征为整个设计过程简单可操作性强。在此方面涉及方面比较广泛,内容相对丰富,通过硬件描述与软件开发工具,实现特定的测试电路设计,在修改方面也达到便利的效果。

1.2产品的互换性强

EDA技术在设计上实现了逻辑编程器件,应用上可以自动的检测、编辑,以及对一些程序的重新建构,对其进行修改。设计芯片方法灵活性强,有别于传统的设计思路,在使用效率方面得到显而易见的效果。因而,产品的互换性较强。

1.3自动性能高

在传统的设计上,需要技术人员的操作,在人员辅助下操作完成设计。EDA技术设计突破以往的多人操作的难点,实现自动化设计。这不仅在人员调动方面节约了成本,而且实现了自动化设计。在性能上达到优化,测试全过程及及结果将会自动完成。

2.基于EDA技术结合数字电路课程设计的探究

2.1设计方法与要求

EDA在设计方法上遵循技术改革创新方式,将其传统的设计概念中,加入新的焊接模式的转变,达到了计算机自动化的性能;在设计要求上,运用于数字系统中,例如,在设计数字闹钟的过程中,增加了计时、整点报时等功能。在设计流程上,使用芯片也比传统芯片更实用。

2.2适配器件如何应用

这时代,EDA设计的特点,在底层配件上都尽显完善,适配对象包括布局线都进行了逻辑性操作。这增加了仿真设计的效果。根据所需要的设计文件类型,完成自动化设计过程。若设计有误,可自动下载编程,进行修改。可见器件的适配设计在实际应用中发挥其明显作用。

2.3编码电路与译码电路共占195个逻辑单元

数字电路课程设计应用在EDA中,通过目标系统,使用描述性编码完成设计工作,编译码电路在出错后会自动改错,编码电路与译码电路共同实现了逻辑性的功能,这个过程,体现了EDA技术在数字电路中越来越重要。

3.突破传统教学教程,注重能力的培养

3.1跟上时代脚步,注重教程改革

电路数字课程设计是电子信息专业的一门基础课程。教学课程方面,比以往的教学方案中增加更多互动模式,传教方式灵活简单易懂,注重培养学生的实践能力。目前,EDA技术涉及的领域遍布全国,它的发展已经步入科技前沿。

3.2根据社会需要,学以致用

数字电路课程设计,应用于实际生活的每个层面。在学校、医院、楼层、社区、企业、家庭、交通等领域中,随处可见,例如在医院里,病床疾病呼叫,还有密码解锁、楼层内的控灯、触摸延时灯、数字钟、还有循环彩灯以及在交通运输方面使用的交通灯等,要结合实际需求,达到教学与实践相结合。

3.3技术与课程设计相结合,利于能力的培养

DEA技术与数字电路课程设计的结合,在给学生逻辑思维上的灌输通通明朗。不论在教学教程上还是培育学生上都得到了实质性的提高。传统的数字电路课程设计制约了学生的分析能力,固定的教学模式,限制了学习设计思路,及其独立设计与组装的能力。因此,注重教学课程改革与培养实践技能成为发展趋势。

4高校开展EDA技术课程,教育教学不断完善

就目前状况来看,EDA技术的课程与实践课开展的十分普遍,对于高职电子专业人员来说,综合EDA技术的数字电路课程设计综合的实现了学生的应用能力,这是技术理论上的一场革命性的训练。新课程的培养目标理念深厚,这种教学方式,贯彻了“三个代表”的重要思想。

在课程上新改革,例如以往的法务部与税务部的分割线比较明显,而在大时代背景下,需要新型人才,也需要在企业中事倍功半,在以往的教程上综合了法务与税务的知识,在新课程的推动下,出现法务税务师,这不仅节约了人才,而且自身能力提高,实现个人独特的价值。基于EDA技术的数字电路课程设计理念也是如此,为节约人才与新型技术人员的培养提供可行性的策略。

总结

数字电路课程设计思路有两个,一个是仿真电路设计,一个是应用设计印刷电路板。课程设计的教程实践将会实现个人的独立设计与创新能力。能够培养具有逻辑性的思考和解决问题的高素质人才,提高学生积极性与学校热情,是实现基于EDA技术的数字电路课程设计的关键所在。

参考文献

数字钟课程设计程序 篇5

void chuanshu(void);

void shuchu(void);

void saomiao(void);

void delay(void);

unsigned int t;

unsigned char m;

unsigned char shi,fen,miao;

unsigned char code duan[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};unsigned char code wei[]={0x80,0x40,0x20,0x10,0x08,0x04,0x02,0x01};

unsigned charxianshi[8]={0,0,0,0,0,0,0,0};

sbit DS=P2^2;

sbit ST_CP=P2^3;

sbit SH_CP=P2^4;

sbit key1=P3^2;

sbit key2=P3^3;

sbit key3=P3^4;

sbit key4=P3^5;

sbit niaoling=P1^0;

sbit dingshi=P1^1;

void delay(void)

{

char i,j;

for(i=0;i<50;i++)

for(j=0;j<100;j++);

}

void chuanshu(void)

{

unsigned char b,c,num;

if(b==0)

{

b++;

num=wei[m];//把位选放在第二个74hc595芯片上

for(c=0;c<8;c++)

{SH_CP=0;

DS=num&0x80;

num=num<<1;

SH_CP=1;//上升沿发生移位

}

}

if(b==1)

{

b--;

if(m==2||m==5)

{num=0xbf;}

else

{

num=duan[xianshi[m]];}

for(c=0;c<8;c++)

{SH_CP=0;

DS=num&0x80;

num=num<<1;

SH_CP=1;//数据在SH_CP的上升沿输入}

}

m++;

if(m==8)

{m=0;

}

}

void shuchu(void)

{ ST_CP=0;

ST_CP=1;//上升沿将数据送到输出锁存器

}

void saomiao(void)

{

if(key1==0)

{

delay();

if(key1==0)

{

miao=0;

while(key1==0);

}

}

xianshi[0]=miao%10;xianshi[1]=miao/10;

if(key2==0){

delay();

if(key2==0){

fen++;

while(key2==0);if(fen==60){

fen=0;}

}

}

xianshi[3]=fen%10;xianshi[4]=fen/10;

if(key3==0){

delay();

if(key3==0){

shi++;

while(key3==0);if(shi==24){

shi=0;}

}

}

xianshi[6]=shi%10;xianshi[7]=shi/10;

if(key4==0){

delay();

if(key4==0){

miao=0;fen=0;shi=0;}

}

}

void main(void)

{

TMOD=0X01;

TH0=(65536-50000)/256;TL0=(65536-50000)%256;EA=1;

ET0=1;

TR0=1;

while(1)

{

saomiao();

chuanshu();shuchu();

}

}

void time0()interrupt 1{

t++;

if(t==20)

{t=0;

miao++;

if(miao==60){miao=0;fen++;

if(fen==60){fen=0;shi++;

if(shi==24){shi=0;}}}

}

基于单片机的数字钟课程设计程序 篇6

uchar num;

void delayms(uint z){ uint x,y;for(x=z;x>0;x--)

for(y=110;y>0;y--);}

void write_com(uchar com){ lcdrs=0;P0=com;delayms(5);lcden=0;}

void write_data(uchar date){ lcdrs=1;P0=date;delayms(5);lcden=1;delayms(5);lcden=0;}

void init(){ lcden=0;write_com(0x38);write_com(0x0c);write_com(0x06);write_com(0x01);}

void main()

//定义使能端、命令选择端

//延时函数

//写入命令函数

//写入数据 //初始化LCD

{

eda数字钟程序 篇7

1 简单介绍EDA有关技术

EDA技术的工作平台是计算机, 该技术是以可编程的元器件为实验的载体、以硬件的描述语言为自身的设计语言、以芯片为实验的器件, 进行系统仿真和有关元器件建模的电子产品自动化设计过程。该技术的出现对于电子领域来说是一次改革, 它起源于计算机的辅助制造、辅助设计、辅助测试计算以及计算机的辅助工程。设计师们运用EDA设计电子系统, 可在计算机中自动地完成系统的性能分析、电路的设计以及图纸生成这些过程。EDA技术是目前电子设计技术的发展潮流, 其最基本的特征就是技术人员将计算机作为工具, 采用自顶向下的设计方式, 对电子系统进行分析和设计, 用硬件的有关描述语言对系统的行为进行设计, 采用智能的开发工具完成系统的编译过程, 并对目标芯片进行编程和下载, 这就是高层次的数字电路设计方法。EDA技术包含丰富的内容, 涉及面非常广。从教学的角度来看, 我们应当主要掌握4点内容:第一, 硬件的相关描述语言;第二, 软件的有关开发工具;第三, 大规模的可编程器件;第四, 实验的开发系统。硬件的相关描述语言是使用EDA技术对电子系统进行设计的一种表达方式;软件的有关开发工具是运用EDA技术对电子系统进行自动化设计;大规模的可编程器件是使用EDA技术设计电子系统的一种载体;实验的开发系统则是运用EDA技术对电子系统进行设计时的下载和验证工具[1]。

2 在数字电路的实验中引入EDA技术

数字电路课程在实验上机的过程中, 应该让学生先了解EDA的软件工具, 并让学生们运用EDA技术验证一些简单的数字电路方面的知识。最简单的例子就是给学生一段程序代码, 对照着将其输入计算机中, 然后编程下载好后检测结果, 接着在原有的程序上修改几处后再检测结果。一开始, 学生们都没有学习过EDA的相关技术和设计方法, 通过这样的简单实验, 让学生们对EDA技术有个大致的感知, 对EDA技术有初步的了解, 把抽象的内容变得具体化, 通过电子设备展现在学生们的眼前, 让学生们对该技术产生浓厚的学习兴趣。还可以运用EDA技术, 将数字电路中偏理论性的内容改编成可以用于生活中的简单便捷的系统。如:移位寄存器可编成彩灯变换的控制器, 加法减法计数器可编成数字时钟等。通过这种将理论知识用于实际生活中的实例, 让学生对课堂上的理论知识改变态度, 学生不再感到枯燥乏味, 把理论知识与实际生活有效地结合起来将使学生产生浓厚的学习兴趣。除此之外, 也能在实际生活中运用到这些简单的功能, 从而发掘理论和实际应用中更多的关联, 为数字电路的设计奠定扎实的基础。学生在数字电路的上机实验中能够对EDA技术有大致的认识, 结合课本上数字电路的理论知识, 并在老师的指导下学习HDL语言和EDA的相关技术。学习完基本的技术之后接下来就开始进行课程的设计。把理论知识、EDA的相关技术、课程的设计、上机实验科学合理地结合起来, 使学生在学习的过程中逐渐了解EDA的相关技术, 并慢慢地掌握该技术, 从而能够完成电子系统的方案设计[2]。

3 数字电路的设计方法

从1990年到现在, 在电子产品的开发过程中有两个最突出的特点:第一, 电子产品上市的时间紧迫;第二, 电子产品的设计越来越复杂。随着可编程性器件的出现和计算机的飞速发展传统模式的数字电路的设计方案有了革命性的解放, 电子设计师摒弃了传统的方式, 采用先进的技术, 使用智能化的芯片代替传统的电路板和零固件, 实现所需要的种种功能。数字电路的设计是基于门级的描述单个层次的设计, 设计方面的工作有分析设计修改、设计输入、仿真, 全部都是基于逻辑门的层次上完成的, 这样的设计方式并不能有效地融入新形式中, 因此需要引进更高层次的设计方式, 我们称之为对系统的设计方式。设计数字电路是一种很综合的设计, 设计过程中通常需要设计多种类型的电路, 这其中又难免存在着不足与错误, 要是只根据设计好的电路在电路板上试验、调试, 那么很有可能带来不好的结果, 测试的过程费时又费力, 甚至还会出现损坏元器件以及实验设备等问题, 致使设计的结果无法满足人们的要求。将EDA技术运用于软件仿真平台上, 利用该技术来进行数字电路的设计, 可帮助人们了解、掌握新的技术以及方法。现如今, 电子技术迅猛发展, 新的电子器件, 新的电路一批接一批不断出现, 不过由于经费等问题的限制, 设计的条件无法第一时间进行更新。计算机上的软件采用仿真的技术, 可以虚拟出一个用于测试的先进仪器或是一个具有各种型号的器件的电子虚拟工作台, 从而可在计算机上进行虚拟的测试和验证, 培养创新分析、设计、开发电路的技能。软件替代硬件, 虚拟替代实际, 这将成为设计方面未来的发展趋势[3]。

4 开放实验室, 鼓励课外EDA设计

EDA相关技术的综合性较强, 该技术涉及面非常广。通过理论课程的学习、上机亲手实验以及完成课程的设计, 再加上后续单片机原理以及微机的原理等课程的学习, 学生们能够慢慢地学习和掌握好EDA技术。掌握了该技术之后, 学校应该鼓励学生在课外对综合的电子电路系统进行设计与创新。在对电子系统进行分析、设计的时候, 采用EDA技术能够很好地应用教学过程中以学生为中心的开放的教学模式。近些年, 计算机得到普及, 而且EDA技术大部分的工作都是在软件的基础上进行, 这就让原本的设计工作走出了实验室。为了让学生自由自主地选择实验内容, 为了能最大限度地使用实验室里的各种资源, 从而让学生产生浓厚的学习兴趣, 提高各方面的实际操作技能, 学校将开放EDA实验室。学生们不仅可以在实验室里进行自己项目的设计, 还可以在寝室里, 甚至可以在校园的任何一个角落, 设计完成后到实验室将相关的文件加载到专门的开发板中, 然后对自己的设计进行验证, 通过不断的调试和修改, 使自己的电子设计更高效、更灵活。在进行EDA设计的过程中, 学生会遇到许许多多的问题, 老师应该提供帮助, 与学生进行交流和沟通, 同时, 学生与学生之间也应该多沟通多交流, 实现共同进步。学生在实际的课程设计过程中, 会遇到问题, 要培养他们分析问题、解决问题的能力, 要给学生一个空间使其充分地展示自己, 这样有助于学生在将来成就一番事业。

5 结语

将EDA技术融入数字电路的教学过程中是一种新型的教学模式。因为在设计电路实验的过程中会经常使用单元电路, 所以该模式可以有效地提高实际设计过程中学生解决各种问题的能力, 也可以很好地帮助学生学习、掌握先进的技术。为了培养电子领域的创新型人才, 并且提高数字电路的教学质量, 将EDA相关技术引进电路的课程设计中, 不仅能够有效地提高学生的实际动手能力和开发能力, 还能够在很大程度上节约数字电路的实验成本, 从而能够提高实验的设计效率。

参考文献

[1]王忠林, 曹献美.基于QuartusⅡ的CPLD的数字系统设计与实现[M].北京:机械工业出版社, 2012.

[2]王忠林, 李荣, 吴新华.基于CPLD的数字电路系统[P].中国:ZL201120280157.6, 2012-03-07.

eda数字钟程序 篇8

程序:(注 已完全经过调试,达到预期目的)

#include #define uchar unsigned char #define uint unsigned int uchar count=0;sbit LED=P1^0;

uchar tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//显示数码管

0,1,3,4,5,6,7,8,9 uchar miao=0,fen=0,hour=0;

void delay(uint i)//延迟函数 { uint j;for(;i>0;i--)for(j=124;j>0;j--);}

void init(){ ET0=1;TMOD=0x51;//选定定时器0,1和中断0,1 TH0=(65536-25000)/256;TL0=(65536-25000)%256;//设定时器0时间为250ms一次

ET1=1;TH1=0xff;TL1=0xff;//定时器1为中断

EX0=1;IT0=1;//中断0开

EX1=1;IT1=1;//中断1开

TR0=1;//定时器0开 TR1=1;//定时器1开 EA=1;//总中断开 }

void display()//时钟显示函数 { P0=tab[hour/10%10];P2=0xfe;delay(1);P0=tab[hour%10];P2=0xfd;delay(1);P0=tab[fen/10%10];P2=0xfb;delay(1);P0=tab[fen%10];P2=0xf7;delay(1);P0=tab[miao/10%10];P2=0xef;delay(1);P0=tab[miao%10];P2=0xdf;delay(1);}

void main()//主函数 { init();LED=0;while(1){ display();}

}

void T0_int()interrupt 1 //{ TH0=(65536-25000)/256;TL0=(65536-25000)%256;count++;if(count==20){ count=0;miao= miao +1;LED=~LED;if(sec==60){ miao=0;

fen= fen +1;

定时器0函数

if(fen ==60)

{

fen =0;

hour=hour+1;

if(hour==24)

hour=0;

} } } }

void T1_int()interrupt 3 //{ TH1=0xff;TL1=0xff;miao=miao+1;if(miao ==60)miao =0;}

void I1_int()interrupt 2 //{ EX0=0;fen= fen +1;if(fen ==60)fen =0;EX0=1;}

void I0_int()interrupt 0 //{ EX1=0;hour=hour+1;if(hour==24)hour=0;EX1=1;}

eda数字钟程序 篇9

通过EDA技术学习数字电子技术是很重要的。本文所介绍的平台采用美国赛灵思公司的XC6SLX9芯片自行设计了一块FPGA开发系统,包括最小系统及其外围电路模块,并编制了跑马灯、按键扫描、数码管显示、蜂鸣器、VGA接口等一系列程序,便于学生和工程技术人员学习和掌握FPGA的使用与开发技术。

1 需求分析

EDA实验箱结构越简单越好,以减少掌握EDA实验箱操作花费的时间;实验案例必须能够表现数字电子技术有关组合电路和时序电路的设计原理;实验现象必须直观和明显,能够以光和声音的形式进行展示数字电子技术原理。

EDA教学平台研发工作由实验箱和配套实验案例设计两部分工作展开,该系统结构如图1所示。

图1 教学平台组成

2 实验箱设计

实验箱由赛灵思公司的XC6SLX9芯片核心板再加上外围电路而构成,为了让实验现象便于表现,加入了大量的人机接口电路(如图2所示)。可以看出F P G A外围包括了声、光、温度、上位机等接口电路,主要包括以下硬件资源:16个LED、16个按键、8个八段数码管、蜂鸣器、VGA接口、串口、温度传感器[2]

图2 电路系统组成

2.1 XC6SLX9核心板组成

核心板由电源电路、时钟电路、下载电路、XC6SLX9芯片四部分组成。

2.1.1 电源电路

通过电压转换芯片AMS1117将输入电压转换后得到内核工作电压1.2 V、外部I/O电压3.3 V。具体电路如下图3所示。其中电容C25,C26和C30,C31则主要是对电源进行滤波。

图3 电源电路

2.1.2 时钟电路

时钟产生电路如图4所示,时钟为50 MHz。

图4 时钟产生电路

2.1.3 下载电路

配置ROM芯片采用XCF04SVO20C,其存储容量为128 M。控制信号用4.7 k电阻上拉以提高电路的驱动能力。图5为配置电路。

图5 配置电路

2.1.4 XC6SLX9芯片

赛灵思公司的XC6SLX9芯片作为核心处理芯片,该芯片具有性价比高的优点。

2.2 数码管电路

数码管电路图如图6所示,电路板上使用了四个共阴数码管。8个段选和4个位选引脚连接到FPGA的I/O引脚。从电路图中可知:数码管8个段同时点亮时,电流大约40~80 m A,需要NPN型三极管对FPGA管脚信号进行驱动。

图6 数码管电路

2.3 按键电路

电路如图7所示,16个按键的一端连接在一起接地,另一端上拉接3.3 V电压。当按键开关不按时FPGA的I/O口为高电平,当按下时,I/O口为低电平。

图7 数码管电路

2.4 蜂鸣器电路设计

蜂鸣器驱动电路如图8所示,蜂鸣器的一端连接3.3 V的电源,另一端连接到NPN型三极管的驱动,这样FPGA的I/O管脚向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调。

图8 蜂鸣器驱动电路

2.5 VGA接口电路

VGA接口的1脚、2脚以及3脚分别和红、绿、蓝3条控制线相连,分别串联电阻后连到FPGA的I/O口上,可以显示8种颜色。3脚、4脚、13脚、14脚以及15脚接地,6脚和7脚分别接VGAHS和VGAVS,分别控制着VGA显示器的水平扫描和垂直扫描信号。电路如图9所示。

图8 VGA接口电路

2.6 实验平台展示

整个实验平台由计算机、下载器、壳体、开发板组成[3]。赛灵思ISE开发软件使用版本为14.2。如图9所示。其中开发板如图10所示。

图9 实验平台组成

图1 0 外围电路模块实物图

3 实验案例的建设

案例分为3种教学层次:(1)演示验证实验;(2)设计性实验;(3)创新性实验。每个层次的难度逐渐增大,实验内容图表1所示。

整体实验案例难度循序渐进,其中演示验证实验主要包容软件使用和数字电子技术中基本原理。实验案例使用赛灵思ISE软件进行在线编程、调试。主要让学生掌握以下几个工程设计流程:输入、综合、实现、仿真、下载。其中赛灵思ISE可结合第三方软件进行仿真,常用的工具如Model Sim和测试激励生成器HDL Bencher,Synopsys公司的VCS等。下载即编程在赛灵思ISE中对应的工具是i MPACT。数字电子原理主要包括组合电路设计、时序电路设计、存储器设计等部分知识点[4]

设计型实验主要让学生根据数字电路原理去设计和使用外围接口,比如LED、按键、蜂鸣器、温度传感器、串口、VGA接口等等资源。如跑马灯实验是利用设计的计数器,实现跑马灯的效果,灯流动的快慢编程调节;八段数码管显示实验让学习者了解数码管动态显示的方法;蜂鸣器实验让学生学习如何向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调,可以用程序编写出一段音乐来。VGA显示实验通过VGA接口显示特定的字符和图形[5]

创新型实验主要针对比较拔尖的学生和课程设计开设,锻炼学生对学生的综合应用能力,同时鼓励学生进行功能创新。

4 结束语

该EDA教学平台以如何设置数电实验课程内容才能最大程度的培养学生实践水平而展开。其主要优点包括以下几方面。

(1)层次化的实验内容构建、自主开放的实验管理模式,鼓励创新的实验考核方式,工程化、实践化的教学环节,多门课程实验综合应用,实现方法的多样性,采用启发式教学方法激励学生自主学习。

(2)实验方法新颖、内容与社会需求一致,实验教学内容联系课本、实际授课、社会需求三个方面确定,实验通用性好,节约成本,该实验模式既可以进行硬件教学,也可以进行仿真教学。

(3)实验内容采用贯穿化、层次化、弹性化教学,数字电路实验用到了多种软件和多种硬件,实验内容可进行选择。为了满足各种需求,实验分为四个层次:演示验证性实验、设计性实验、综合应用类实验、科技创新类实验。

参考文献

[1]杨海钢,孙嘉斌,王慰.FPGA器件设计技术发展综述[J].电子与信息学报,2010(3):714-727.

[2]段有艳.基于Xilinx ISE软件平台用VHDL实现FPGA电路设计[J].昆明冶金高等专科学校报,2006(3):76-80.

[3]于东阳,苏彬.基于Xilinx ISE平台的FPGA电路设计[J].微处理机,2012(2):5-7.

[4]苏玉萍.《数字电子技术》实验教学改革探析[J].实验科学与技术,2013(3):81-83.

上一篇:清晨励志英文演讲稿下一篇:梦想作文初一