USB存储四篇

2024-08-09

USB存储 篇1

存储测试是指在对被测对象无影响或在允许范围的条件下,在被测体内置入微型数据采集与存储测试仪,现场实时完成信息的快速采集与记忆,然后回收记录仪,由计算机处理和再现测试信息的一种动态测试技术[1]。经过近三十年的发展,存储测试技术不断成熟,已成功应用于火炮膛压测试、弹载全弹道参数测试、车辆机械运动部件工况参数测试、石油井下压力测试、爆炸冲击波场测试、人体运动及生理参数测试、载人航天测试等诸多领域[2],在军工测试领域和国民经济建设中发挥着重要作用。

接口电路是测试系统与外部计算机进行数据传输的通道,是存储测试系统的重要组成部分。文献[3,4]表明南京理工大学和北京理工大学研究的存储测试系统主要采用串行接口,而文献[5,6]表明中北大学研究的存储测试系统串口和并口兼而有之,视实际使用情况而定。众所周知,串口使用受波特率的限制,制约了存储测试系统与计算机数据传输的效率。并行传输是一种效率较高的传输方式,利用计算机并口的EPP模式可以实现大于300 KB/s的稳定传输率,然而现在大多数计算机已不再配置并口,USB接口成为计算机对外数据传输的主要通道。因此,开发适于存储测试系统集成的USB接口成为存储测试技术发展的一项重要内容。

1 USB协议及集成芯片

USB全称是通用串行总线(Universal Serial Bus),目前以USB 2.0规范应用最为普遍。USB 2.0规范的理想传输速率为480 Mb/s(60 MB/s),足以满足大多数外设的速率要求。USB 2.0是一种复杂的传输协议,这给USB接口的设计和开发带来很大难度。文献[7,8]的研究表明,在应用层对数据采集系统进行USB接口设计时,可以选择集成USB协议的专用芯片进行二次开发,从而降低了系统开发难度。通过查阅大量文献,本文选用FTDI公司的FT245R芯片进行USB接口设计。

FT245R符合USB 2.0规范,具有功能强、体积小、传输速度快、易于与微处理器接口等特点,非常适合在嵌入存储测试系统中进行接口设计。FT245R可以方便地实现USB主机与外设MCU,CPLD的接口,其数据传输速率可达1 MB/s。FT245R内部集成了256 B的接收FIFO和128 B的发送FIFO,大大提高了USB主机与外设的通信质量。另外,FT245R还具备3.3 V的LDO调整器、USB数据时钟恢复PLL及USB数据收发器,且E2PROM接口逻辑单元可外接串行存储器,以实现VID,PID,序列号和设备描述符的存储。FT245R大大简化了外围电路,使接口设计更趋于小型化,符合存储测试系统微小体积的要求。

2 接口硬件设计

USB接口设计以单片机和FT245R为核心器件,原理如图1所示。由于FT245R将涉及USB协议的高速信号全部集成在芯片内部,从而降低了系统对单片机性能的要求。本设计选用了Microchip公司的中档单片机PIC16F877,该单片机最高工作时钟为20 MHz,有5个并行I/O端口,13个中断源,完全满足同时对FT245R和存储测试系统进行控制的要求。

图1中,SRAM是集成于存储测试系统中的静态存储器,测试过程结束后,SRAM存满了测试数据。单片机在USB接口中起到桥梁的作用,其I/O端口中的PORTD以及PORTC的低四位与SRAM中的12 b数据位相连,作为数据总线。同样,单片机的PORTB端口与FT245R中的8 b数据位相连,成为另外一条数据总线。SRAM中3个与存取操作有关的控制信号分别与单片机的RA0,RA1和RA2相连,而FT245R的4个与数据传输有关的控制信号则与单片机PORTC端口的高四位相连。在硬件设计中,两条数据总线是有区别的。SRAM到单片机的数据总线是单向的,数据只能从存储器传向单片机。单片机与FT245R间的数据总线是双向的,既能完成测试数据的上行传输,又能完成计算机指令的下行传输。

另外,存储测试系统都是使用电池供电,为了节省有限的电源,USB接口电路可以采取由计算机供电的方法。计算机的USB口能够提供5 V电源,最大负载电流可达500 mA,完全可以满足本文设计的USB接口的用电需求。

图2是USB总线供电原理图。5 V电源自USB口的1脚输出,经电容C1和铁氧体滤波后进入DC-DC变换器LP2987,经电压变换后输出3.3 V直流电压(负载电流200 mA),供应单片机、FT245R及其外围元件。

3 软件编程

3.1 固件编程

读取数据时首先要通过计算机发送读数指令,该指令经USB接口传输至FT245R。FT245R经内部串/并转换,输出并行数据至FIFO控制器,同时将RXF信号置为低电平。单片机通过查询RXF状态判断FT245R是否有数据等待读取,RXF由高变低后,单片机将读数控制信号RD置低。FT245R在检测到RD信号变低后,迅速将FIFO控制器中的数据放在数据总线上。单片机从总线上读取数据后,首先进行判断,若为读数指令,则单片机进入从测试系统读数的子程序。图3是单片机从FT245R读取数据的流程图。

从SRAM读取存储数据时,单片机首先置片选信号CE和读控制信号OE为低电平,测试系统的时序控制电路在OE信号的作用下推动SRAM的地址,SRAM则将对应地址的数据放在总线上。单片机读取数据总线后,判断FT245R的写允许信号TXE是否为低电平,若为低电平则进入向FT245R发送数据子程序,若为高电平说明FT245R忙,不能写数据,单片机继续判断TXE信号,直到变低为止。发送数据时,单片机首先置FT245R的写控制信号WR为高电平,然后将读取的PORTD端口的数据发送至PORTB端口,接着将WR变回低电平。之后,继续判断TXE的状态,变低后发送从PORTC端口读取的数据,完成12 b数据自测试系统读取并经FT245R发送的过程,流程如图4所示。在编程时,根据测试系统SRAM的存储容量,重复上述操作即可实现测试数据经USB接口发送至计算机。

3.2 计算机编程

计算机端程序采用VB 6.0编写,通过调用FTDI提供的动态链接库,进行读、写函数等的调用与操作。在VB程序中,首先需要在模块里声明动态链接库“FTD2XX.DLL”。然后,在主程序里需要先对FT245R进行初始化,包括打开设备、复位设备、清理缓冲区、设定等待时间等步骤,初始化流程如图5所示。其他工作参数如波特率、奇偶校验、溢出控制等使用默认设置即可。

对FT245R初始化完成后,就可以进行数据发送和接收。发送数据时,将数据赋值到发送数组,调用发送函数FT_Write,然后判断函数返回值是否等于1。若为1说明数据发送成功,否则需要重新发送。接收数据时,首先需要设定接收超时时间。然后调用FT_Getstatus函数读取接收缓冲区的状态,判断接收队列中是否有数以及是否满足设定的读取字节数阈值。若满足读取条件,则调用读数函数FT_Read,读取当前缓冲区的数据并存入数组,否则就一直等待,直到满足读取条件或产生接收超时。若产生超时,程序自动跳出接收程序,避免陷入死循环。接收流程如图6所示。

4 结 论

设计的USB接口数据传输率达到1 MB/s,满足存储测试系统数据读取速率的要求。

该接口符合USB 2.0协议,在计算机上安装驱动即可,使用简单方便。

该接口具有体积小、运行可靠等特点,非常适合嵌入到存储测试系统中,也可将接口与外部数据线做成一体,进一步减小测试系统体积。

参考文献

[1]张文栋.存储测试系统的设计理论及其在导弹动态数据测试中的实现[D].北京:北京理工大学,1995.

[2]王代华.冲击波存储测试系统无线数据传输技术研究[D].太原:中北大学,2005.

[3]江小华,李豪杰,张河.小型弹内存储测试系统研究[J].弹道学报,2002,14(2):57-61.

[4]董健,蒋建伟,万丽珍.CPLD与单片机在超压存储测试系统中的应用[J].测试技术学报,2005,19(1):44-47.

[5]陈鲁疆,熊继军,马游春,等.基于BGA/CSP封装技术的微型存储测试系统的研制[J].弹箭与制导学报,2005,25(2):94-96.

[6]谢浔,张志杰,祖静.计算机并口在测试系统中的应用[J].仪器仪表学报,2004,25(4):531-532.

[7]王安,钱晓亮,樊文侠.基于ARM的USB接口数据记录器的设计[J].计算机应用,2007,27(1):254-256.

USB存储 篇2

1 系统总体结构

系统总体结构框图如图1所示。系统由智能卡和加密机两大部分构成,智能卡通过ISO 7816接口与加密机相连。加密机主要由51单片机、嵌入式USB主机、FPGA、智能卡接口电路、电源电路和按键、LED等人机交互接口电路构成。嵌入式USB主机作为USB拓扑结构中的主机(Host),完成USB存储设备的访问管理和USB文件的读写。USB文件的加解密由FPGA高速实现3DES算法来完成。智能卡实现系统的安全管理。智能卡接口电路实现ISO 7816接口到并行接口的转换。51单片机通过并行总线与嵌入式USB主机、FPGA和智能卡接口电路相连,负责整个系统的管理和控制。

2 系统安全性设计

安全性是加密系统一项至关重要的性能指标。本系统从以下几方面进行了严密的安全性设计,确保系统的高安全性。

2.1 工作模式

基于嵌入式USB主机设计,实现可完全脱离PC机运行的嵌入式工作模式,从而消除了PC机软硬件安全隐患,提高了系统的安全性。

2.2 安全认证

安全认证包括加密机与智能卡之间的设备认证和智能卡与操作者之间的身份认证。

设备认证通过对比加密机和智能卡中存放的设备认证码来实现。每个加密机与唯一一个智能卡配对使用,均存放着相同的设备认证码,且不同的加密机和智能卡有不同的设备认证码,通过对比各自存放的设备认证码可对智能卡的合法性进行认证。

身份认证通过智能卡的口令验证实现,只有输入正确的智能卡口令才能通过口令验证,从而对操作者的合法性进行认证。

在安全认证过程中,任何一个认证若不通过加密机,则结束操作。因此只有当密码机、唯一与之配对的智能卡和正确的智能卡口令三个要素同时具备时,才能通过认证。缺乏其中任何一个要素,认证都将失败,加密机结束操作,从而使系统的安全性大大提高。

2.3 加解密算法的安全性

加解密算法的安全性包括算法自身的安全性以及算法存放和调用的安全性。

本系统的加解密算法选用3DES算法。3DES算法的密钥长度为168位,用穷举搜索结果攻击密文需要测试2 168次,可以有效克服穷举攻击,并且增强了抗差分分析和线性分析能力,具有极其强大的安全性[1]。

算法存放和调用的安全性依赖于器件自身具有的安全防护能力。本系统选用ACTEL公司反熔丝型FPGA芯片AX250存放和调用算法。反熔丝型FPGA不需要专门的编程芯片,且具有编程内容不可读、运行时不能改的性能,可有效地保护算法,从而提高系统的安全性。

2.4 密钥的安全性

本系统选用智能卡实现密钥的安全存放和调用。智能卡中集成了微处理器、存储单元以及芯片操作系统COS(Chip Operating System),从而构成一个完整的计算机系统。它不仅具有数据存储功能,同时还具有命令处理和数据安全保护等功能,因此安全性大大增强。本系统选用智能卡作为密钥存放的介质,密钥的调用受卡内COS操作系统的安全保护,利用智能卡自身的物理安全机制和COS操作系统的安全体系为密钥的存放和调用提供双重的安全保证。

2.5 其他安全防范措施

(1)设备认证码的存放和调用受AX250和智能卡的保护,避免外部访问。

(2)加密机与智能卡可分离存放,减少失密的可能。

(3)在系统开机时对算法和密钥联合运行的正确性进行自检,防止加解密错误。

3 系统硬件设计

3.1 51单片机电路设计

51单片机选用CYGNAL公司的C8051F023。C8051F023是完全集成的系统级SoC芯片,具有25 MIPS的CIP-51内核,内部资源丰富、功耗低、可提高系统的可靠性和便携性。

单片机电路原理如图2所示。C8051F023外接25 MHz晶振,程序执行速度可高达25 MIPS。为提高数据通信速率,单片机通过8位非复用并行总线与嵌入式USB主机、FPGA和智能卡接口电路通信。因外部所需地址空间较少,单片机采用线选的方式进行片选,A15片选USB主机,端口地址范围为6000H~7FFFH;A14片选FPGA,端口地址范围为A000H~BFFFH;A13片选智能卡接口电路,端口地址范围为C000H~DFFFH。

3.2 嵌入式USB主机电路设计

嵌入式USB主机选用CH375。CH375是一款USB通用接口芯片,支持Host主机方式和Slave设备方式,主机端点输入和输出缓冲区各为64 B。在Host主机方式下支持各种常用的USB全速设备,外部处理器可以方便地通过CH375按照相应的USB协议与USB设备通信[2]。

嵌入式USB主机电路原理如图3所示。TXD管脚接地,CH375通过并行接口与C8051F023通信。地址输入线A0连接至单片机的地址线A0,当A0为高电平时选择命令端口,可以写入命令;当A0为低电平时选择数据端口,可以读写数据,因此CH375命令端口的访问地址为6XX1H或7XX1H,数据端口的访问地址为6XX0H或7XX0H。中断请求INT连接到单片机的中断输入/INT0。V3管脚接3.3 V,选择工作电压为3.3 V,从而与接口的C8051F023保持电平兼容。接插件J201为通用USB插座,外接待加解密的USB存储设备。

3.3 FPGA电路设计

FPGA选用反熔丝型FPGA芯片AX250。该芯片除具有较高的安全性,还具有资源丰富、速度快、功耗低、抗辐射能力强和耐高低温等优点,可大幅提高系统的整体性能[3]。

FPGA电路原理如图4所示。AX250通过8位并行接口与单片机C8051F023通信。内部工作电压VCCPL接1.5 V,保证芯片低功耗运行。外部IO电压VCCIB接3.3 V,保持与C8051F023电平兼容。AX250的时钟由晶振CRY301输入,时钟频率根据FPGA内部程序逻辑综合后的时钟频率而定,系统最终实现的频率为33 MHz。因单片机只有两个外部中断,FPGA与智能卡接口电路共用同一个中断INT1,CARD_INT为智能卡接口电路的中断请求。系统在FPGA中设置一中断标志寄存器,当FPGA或智能卡接口电路请求中断时会分别置对应的中断标志位为1,单片机通过查询中断标志寄存器即可确定INT1的中断源。

3.4 智能卡接口电路设计

智能卡接口电路选用Philips公司的TDA8007。TDA8007能够提供两个满足ISO7816标准的智能卡接口,并通过并行总线与微处理器通信,可实现ISO7816接口到8位并行接口的转换。TDA8007内部集成的电源管理功能允许TDA8007的供电范围可达2.7~6.0 V,并且通过电源管理可以给智能卡提供5.0 V、3.0 V及1.8 V电源,以适合不同工作电压的智能卡应用[4]。

智能卡接口电路原理如图5所示。工作电压选择3.3 V,保持与接口的C8051F023电平兼容。并行总线接口为非复用方式,ALE信号接高电平,AD0~AD3与单片机A0~A3相连。中断请求CARD_INT连至FPGA,与FPGA共用单片机的同一中断INT1。接插件J401为智能卡ISO7816接口插座。

4 系统软件设计

4.1 单片机C8051F023软件设计

C8051F023是本系统的控制核心,负责管理及协调系统其他各部分的工作,程序流程图如图6所示。其中与USB有关的操作由单片机控制CH375完成,自检、安全认证和数据加解密等操作由单片机控制智能卡和FPGA完成。

4.2 3DES算法的实现

3DES算法用硬件描述语言VHDL编程实现,算法实现的整体结构框架如图7所示。加解密密钥K1、K2、K3存储在密钥存储RAM中,经子密钥产生模块产生三组子密钥SUBK1、SUBK2、SUBK3,分别提供给3个DES模块。待加解密数据存储在输入FIFO中,在控制模块的控制下每次读取64位送到DES模块1,经DES模块1、DES模块2和DES模块3处理完后,把加解密的结果送到输出FIFO中,从而完成一组数据的3DES加解密。

此设计采用循环全部打开和流水线结构来设计单个的DES模块。循环打开后,实现DES模块的全部16轮圈函数便级联在一起,上一圈函数的输出作为下一圈函数的输入,然后在每轮的中间加上寄存器来实现流水线,从而最多可有16个数据分组被同时执行圈函数运算,流水线的各个圈函数以重叠并行的方式工作[5]。这样,在理想的流水线操作情况下,完成一个数据分组的DES运算相当于只需1个时钟,每个DES模块的加解密速度提高近16倍。同理,3个DES模块之间的级联也采用流水线结构来设计。通过采用三级流水可以使3个DES模块同时并行工作,从而进一步提高3DES算法的加解密速度。

5 结果测试

5.1 安全认证测试

在以下几种情况下使用本系统对USB存储设备进行加解密:

(1)不插入智能卡,只使用加密机。

(2)使用不配对的加密机、智能卡。

(3)使用配对的加密机、智能卡,但不输入或输入错误的智能卡口令。

测试结果显示,以上3种情况下系统均不能通过安全认证,加密机停止操作,无法完成USB存储设备的加解密。

5.2 保密数据的安全性测试

通过多种访问途径或接口方式,尝试从系统外部访问智能卡和FPGA中存放的密钥、算法和设备认证码等保密数据,结果显示均失败,保密数据无法被外部访问。

5.3 加解密性能测试

加解密性能测试包括准确性测试和速度测试。使用本系统对U盘中100个不同大小的文件进行加密操作,将得到的密文与正确的密文进行对比;再将得到的密文进行解密操作,将得到的明文与原有文件进行对比。结果显示全部正确,系统具有很高的加解密准确性。记录下各文件加解密的时间,测得系统的平均加解密速度为355 kb/s,系统具有较快的加解密速度。

本文采用嵌入式USB主机CH375、智能卡和反熔丝型FPGA芯片AX250设计并实现了一种高安全性的便携式USB存储加密系统。该系统可以完全脱离PC机工作,安全性高、便携性好、加解密速度快、整体性能良好。

摘要:设计了一种高安全性的便携式USB存储加密系统。该系统基于嵌入式USB主机CH375设计,采用智能卡实现人机安全认证和密钥的安全存储,采用反熔丝型FPGA芯片AX250高速实现3DES算法,能够在完全脱离PC机的情况下对USB存储设备中的文件进行加解密,具有很高的安全性和整体性,并可满足野外或移动应用的需求。

关键词:USB存储加密,嵌入式USB主机,智能卡,安全认证,反熔丝型FPGA

参考文献

[1]吉杰,林洽欣.用三重DES加密.NET数据库连接字符串[J].计算机工程与设计,2007,28(4):817-819.

[2]李海军.基于USB总线接口芯片CH375的虚拟仪器设计[J].三峡大学学报(自然科学版),2005,27(2):168-170.

[3]卿辉,肖红跃.反熔丝FPGA在密码芯片设计中的运用[J].通信技术,2001(1):62-63.

[4]飞利浦半导体公司.TDA8007Multiprotocol smart card interface[EB/OL].http://www.semiconductors.philips.com/products/TDA8007.html.

无法使用USB存储模式 篇3

A:MTP模式可以在设备之间进行多媒体文件的交换,但是这种方式对于喜欢刷机的用户非常不方便。要解决这个问题,首先需要刷入第三方的Recovery,接着点击菜单中的“挂载分区”命令。然后根据提示选择要挂载的区域,并且将手机通过数据线连接到电脑里面,这样USB存储模式就出来了。需要提醒大家的是,在使用USB存储模式的时候不用退出Recovery,不然的话USB存储模式将马上消失。

也可以通过RE管理器进入到data/property/目录,用编辑模式打开其中的persist.sys.usb.config文件,将其中的内容mtp,adb改为mass_storage,adb保存即可。

Android应该如何去除浏览器广告

Q:手机版的Chrome浏览器能不能安装去广告的扩展?我找了半天也找不到相关的设置。如果没有相关设置的话,有其他的什么解决方法吗?

A:其实包括Adblock Plus、AdAway等扩展,都有针对Android的版本可以安装使用。虽然这两款扩展使用的原理不一样,但是它们都需要对系统进行ROOT后才可以进行操作。如果用户不喜欢ROOT操作的话,那么可以试一试Adguard这款广告过滤软件,或者使用添加有去广告功能的第三方Chrome浏览器版本。

Flyme用久了卡顿的快速解决

Q:我使用的是魅族出品的智能手机,但是不知道为什么时间一长,就会出现比较明显的卡顿。利用手机自带的功能优化过,好像也没有出现什么改善。请问有没有其他的解决方法?

A:这里有一个网友推荐的方法,用户可以试一试看看效果。首先点击系统桌面的“设置”按钮,在弹出的窗口中点击左侧的“个性化”选项。然后找到右侧窗口的“Flyme风格图标”选项,最后将这个选项关闭即可。

小米手机如何导出通讯录信息

Q:自己的小米手机屏幕碎了,需要把通讯录取出来才行。以前通过小米的云服务备份过,但是用的是已经注销的手机号。现在怎么才可以将通讯录导入到新的手机?

A:要想解决这个问题,只需要利用有同步功能的应用,比如网盘、同步助手等。我们这里运行“QQ同步助手”这款应用,在弹出的操作界面点击“同步”按钮,就可以将通讯录同步到服务器里面。接下来再在另外的手机里面安装该应用,这样就可以把通讯录下载到新的手机中了。

如何调整手机屏幕的色温数字

Q:我自己和很多人一样有一个习惯,就是在睡觉以前要玩一会手机,但是听说手机屏幕会对人的睡眠有影响。请问这种说法是不是真的?如何才可以杜绝这种情况?

USB存储 篇4

摘要:介绍了一种利用USB2.0接口芯片ISP1581并配合FPGA芯片EP1K30T144和DSP芯片TMS320F206实现无线数传接收设备中数据接收存储的方法。这种方法具有接口简单、使用方便等特点。

关键词:位同步 帧同步 USB2.0 差错控制

数据接收存储技术革新是信号采集处理领域内的一个重要课题。利用这种技术,可以把信号的实时采集和精确处理在时间上分为两个阶段,有利于获得令人更满意的处理结果。在无线数传接收设备中应用数据接收存储方法时,除了要满足数据传输速率和差错控制方面的要求外,还需要考虑如何使设备易于携带、接口简单、使用方便。

传统外设接口技术不但数据传输速率较低,独占中断、I/O地址、DMA通道等计算机系统关键资源,容易造成资源冲突问题,而且使用时繁杂的安装配置手续也给终端用户带来了诸多不便。近年来,USB接口技术迅速发展,新型计算机纷纷对其提供支持。USB2.0是USB技术发展的最新成果,利用USB2.0接口技术开发计算机外设,不但可以借用其差错控制机制[1][6]减轻开发人员的负担、获得高速数据传输能力(480Mb/s),而且可以实现便捷的.机箱外即插即用特性,方便终端用户的使用。

1 无线数传接设备总体构成

无线数传接收设备是某靶场测量系统的一个重要组成部分。如图1所示,该设备由遥测接收机利用天线接收经过调制的无线电波信号,解调后形成传输速率为4Mb/s的RS-422电平差分串行数据流。以帧同步字打头的有效数据帧周期性地出现在这些串行数据中。数据转存系统从中提取出有效的数据帧,并在帧同步字后插入利用GPS接收机生成的本地时间信息,用于记录该帧数据被接收到的时间,然后送给主机硬件保存。

在无线数传接收设备中,数据转存系统是实现数据接收存储的关键子系统。下面将详细介绍该系统的硬件实现及工作过程。

2 数据转存系统基本构成及硬件实现

数据转存系统主要由FPGA模块、DSP模块、USB2.0接口芯片构成,各个模块之间的相互关系如图2所示示。图中,4Mb/s的串行数据输入信号SDI已由RS-422差分电平转换为CMOS电平。为突出重点,不太重要的信号连线未在图中绘出。下面分别介绍这几个模块的主要功能。

2.1 FPGA模块实现及春功能

FPGA模块在Altera公司ACEX系列的EP1K30TI144-2芯片中实现。其中主要的功能子模块有:位同步逻辑、帧同步逻辑、授时时钟和译码逻辑。位同步逻辑主要由数字锁相环构成,用于从串行数据输入信号SDI中恢复出位时钟信号。帧同步逻辑从位同步逻辑的输出信号提取帧同步脉冲。两者为DSP利用其同步串行口接收串行数据作好准备。这样,利用一对差分信号线就可以接收同步串行数据,简化了印制电路板的外部接口。授时时钟在DSP和GSP接收机的协助下生成精度为0.1ms的授时信息。译码逻辑用于实现系统互联。

[1] [2] [3] [4]

【USB存储】推荐阅读:

存储价值05-01

稀疏存储05-01

存储控制05-04

随机存储05-05

密钥存储05-18

存储体系06-07

文档存储06-15

绿色存储06-19

分类存储07-16

远程存储07-18

上一篇:任务驱动法商务英语下一篇:经验权重系数